Optical Proximity Correction
   HOME

TheInfoList



OR:

Optical proximity correction (OPC) is a
photolithography In integrated circuit manufacturing, photolithography or optical lithography is a general term used for techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protect ...
enhancement technique commonly used to compensate for image errors due to
diffraction Diffraction is defined as the interference or bending of waves around the corners of an obstacle or through an aperture into the region of geometrical shadow of the obstacle/aperture. The diffracting object or aperture effectively becomes a s ...
or process effects. The need for OPC is seen mainly in the making of semiconductor devices and is due to the limitations of light to maintain the edge placement integrity of the original design, after processing, into the etched image on the silicon wafer. These projected images appear with irregularities such as line widths that are narrower or wider than designed, these are amenable to compensation by changing the pattern on the photomask used for imaging. Other distortions such as rounded corners are driven by the resolution of the optical imaging tool and are harder to compensate for. Such distortions, if not corrected for, may significantly alter the electrical properties of what was being fabricated. Optical proximity correction corrects these errors by moving edges or adding extra polygons to the pattern written on the photomask. This may be driven by pre-computed look-up tables based on width and spacing between features (known as rule based OPC) or by using compact models to dynamically simulate the final pattern and thereby drive the movement of edges, typically broken into sections, to find the best solution, (this is known as model based OPC). The objective is to reproduce on the semiconductor wafer, as well as possible, the original layout drawn by the designer. The two most visible benefits of OPC are correcting linewidth differences seen between features in regions of different density (e.g., center vs. edge of an array, or nested vs. isolated lines), and line end shortening (e.g., gate overlap on field oxide). For the former case, this may be used together with
resolution enhancement technologies Resolution(s) may refer to: Common meanings * Resolution (debate), the statement which is debated in policy debate * Resolution (law), a written motion adopted by a deliberative body * New Year's resolution, a commitment that an individual mak ...
such as scattering bars (sub-resolution lines placed adjacent to resolvable lines) together with linewidth adjustments. For the latter case, "dog-ear" (serif or hammerhead) features may be generated at the line end in the design. OPC has a cost impact on
photomask A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography and the production of integrated circuits (ICs or "chips") in particular. Masks are used ...
fabrication whereby the mask write time is related to the complexity of the mask and data-files and similarly mask inspection for defects takes longer as the finer edge control requires a smaller spot size.


Impact of resolution: the ''k''1 factor

The conventional diffraction-limited resolution is given by the
Rayleigh criterion Angular resolution describes the ability of any image-forming device such as an optical or radio telescope, a microscope, a camera, or an eye, to distinguish small details of an object, thereby making it a major determinant of image resolution. ...
as 0.61\lambda/NA, where NA is the
numerical aperture In optics, the numerical aperture (NA) of an optical system is a dimensionless number that characterizes the range of angles over which the system can accept or emit light. By incorporating index of refraction in its definition, NA has the proper ...
and \lambda is the
wavelength In physics, the wavelength is the spatial period of a periodic wave—the distance over which the wave's shape repeats. It is the distance between consecutive corresponding points of the same phase on the wave, such as two adjacent crests, tro ...
of the illumination source. It is often common to compare the critical feature width to this value, by defining a parameter, k_1, such that feature width equals k_1\lambda/NA. Nested features with k_1<1 benefit less from OPC than isolated features of the same size. The reason is the spatial frequency spectrum of nested features contains fewer components than isolated features. As the feature pitch decreases, more components are truncated by the numerical aperture, resulting in greater difficulty to affect the pattern in the desired fashion.


Impact of illumination and spatial coherence

The degree of coherence of the illumination source is determined by the ratio of its angular extent to the numerical aperture. This ratio is often called the partial coherence factor, or \sigma. It also affects the pattern quality and hence the application of OPC. The coherence distance in the image plane is given roughly by 0.5\lambda/(\sigma NA). Two image points separated by more than this distance will effectively be uncorrelated, allowing a simpler OPC application. This distance is in fact close to the Rayleigh criterion for values of \sigma close to 1. A related point is that the use of OPC does not change the illumination requirement. If off-axis illumination is required, OPC cannot be used to switch to on-axis illumination, because for on-axis illumination, imaging information is scattered outside the final aperture when off-axis illumination is needed, preventing any imaging.


Impact of aberrations

Aberrations in optical projection systems deform wavefronts, or the spectrum or spread of illumination angles, which can affect the depth of focus. While the use of OPC can offer significant benefits to depth of focus, aberrations can more than offset these benefits. Good depth of focus requires diffracted light traveling at comparable angles with the optical axis, and this requires the appropriate illumination angle. Assuming the correct illumination angle, OPC can direct more diffracted light along the right angles for a given pitch, but without the correct illumination angle, such angles will not even arise.


Impact of multiple exposure

As the k_1 factor has been steadily shrinking over the past technology generations, the anticipated requirement of moving to multiple exposure to generate circuit patterns becomes more real. This approach will affect the application of OPC, as one will need to take into account the sum of the image intensities from each exposure. This is the case for the complementary
photomask A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography and the production of integrated circuits (ICs or "chips") in particular. Masks are used ...
technique, where the images of an alternating-aperture phase-shifting mask and a conventional binary mask are added together.


Impact of multiple-etch patterning

In contrast to multiple exposure of the same
photoresist A photoresist (also known simply as a resist) is a light-sensitive material used in several processes, such as photolithography and photoengraving, to form a patterned coating on a surface. This process is crucial in the electronic industry. T ...
film, multiple layer patterning entails repeated photoresist coating, deposition, and etching to pattern the same device layer. This gives an opportunity to use looser design rules to pattern the same layer. Depending on the lithography tool used to image at these looser design rules, the OPC will be different. Multiple-etch patterning may become a popular technique for future technology generations. A specific form of multiple-etch patterning, using sidewall sacrificial features, is currently the only demonstrated way of systematically patterning features less than 10 nm. The minimum half-pitch corresponds to the deposited thickness of the sacrificial feature.


OPC application today

Today, OPC is rarely practiced without the use of commercial packages from
electronic design automation Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing Electronics, electronic systems such as integrated circuits and printed circuit boards. The tools wo ...
(EDA) vendors. Advances in algorithms, modeling techniques and the use of large compute farms has enabled the most critical patterning layers to be corrected overnight, starting from the 130 nm design rules (when model based OPC was first used) down to the most advanced design rules. The number of layers requiring sophisticated OPC has increased with advanced nodes, as previously non-critical layers now require compensation. The use of OPC is not restricted to the low k_1 features which are commonly encountered today, but can be applied to any desired image correction scheme which can be modeled accurately. For example,
proximity effect Proximity effect may refer to: * Proximity effect (atomic physics) * Proximity effect (audio), an increase in bass or low frequency response when a sound source is close to a microphone * ''Proximity Effect'' (comics), a comic book series written by ...
correction in
electron beam lithography Electron-beam lithography (often abbreviated as e-beam lithography, EBL) is the practice of scanning a focused beam of electrons to draw custom shapes on a surface covered with an electron-sensitive film called a resist (exposing). The electron b ...
is included as an automated capability on commercial electron-beam lithography tools. Since many non-lithographic processes exhibit their own proximity effects, e.g.,
chemical-mechanical polishing Chemical mechanical polishing (CMP) or planarization is a process of smoothing surfaces with the combination of chemical and mechanical forces. It can be thought of as a hybrid of chemical etching and free abrasive polishing. Description The proc ...
or
plasma etching Plasma etching is a form of plasma processing used to fabricate integrated circuits. It involves a high-speed stream of glow discharge (plasma) of an appropriate gas mixture being shot (in pulses) at a sample. The plasma source, known as etch speci ...
, these effects can be mixed in with the original OPC.


Subresolution assist features (SRAFs)

Subresolution assist features (SRAFs) are features which are separated from targeted features but assist in their printing, while not being printed themselves. Printing SRAFs are a critical yield detractor and require additional OPC models to determine and remove SRAFs where undesirable printing may occur. SRAFs have more pronounced effects on the diffraction spectrum than targeted feature resizing and/or attachments. The requirement to not print constrains their use with low doses only. This could pose issues with stochastic effects. Hence their main application is to improve depth of focus for isolated features (dense features do not leave enough room for SRAF placement). Since SRAFs redistribute energy toward higher spatial frequencies or diffraction orders, the depth of focus is more dependent on the illumination angle (center of spectrum of spatial frequencies or diffraction orders) as well as the pitch (separation of spatial frequences or diffraction orders). In particular, different SRAFs (position, shape, size) could result in different illumination specifications. In fact, certain pitches forbid the use of SRAFs for specific illumination angles. Since the pitch is usually predetermined, some illumination angles must be avoided even with the use of SRAF OPC. Generally, though, SRAFs cannot be a complete solution, and can only approach the dense case, not match it.{{cite conference , last=Mochi , first=Iacopo , last2=Philipsen , first2=Vicky , last3=Gallagher , first3=Emily , last4=Hendrickx , first4=Eric , last5=Lyakhova , first5=Kateryna , last6=Wittebrood , first6=Friso , last7=Schiffelers , first7=Guido , last8=Fliervoet , first8=Timon , last9=Wang , first9=Shibing , last10=Hsu , first10=Stephen , last11=Plachecki , first11=Vince , last12=Baron , first12=Stan , last13=Laenens , first13=Bart , display-authors=5, editor-last=Panning , editor-first=Eric M. , editor-last2=Goldberg , editor-first2=Kenneth A. , title=Assist features: placement, impact, and relevance for EUV imaging , publisher=SPIE , date=2016-03-18 , issn=0277-786X , doi=10.1117/12.2220025 , volume=9776, page=97761S


See also

*
Computational lithography Computational lithography (also known as computational scaling) is the set of mathematical and algorithmic approaches designed to improve the resolution attainable through photolithography. Computational lithography has come to the forefront of ...
*
Phase-shift mask Phase-shift masks are photomasks that take advantage of the interference generated by phase differences to improve image resolution in photolithography. There exist alternating and attenuated phase shift masks. A phase-shift mask relies on ...
*
Inverse lithography In semiconductor device fabrication, the inverse lithography technology (ILT) is an approach to photomask design. This is basically an approach to solve an inverse imaging problem: to calculate the shapes of the openings in a photomask ("source") ...


References


External links


Overview of OPC, with diagrams, by Frank Gennari
Lithography (microfabrication)