Glossary of microelectronics manufacturing terms
   HOME

TheInfoList



OR:

{{Short description, none Glossary of
microelectronics Microelectronics is a subfield of electronics. As the name suggests, microelectronics relates to the study and manufacture (or microfabrication) of very small electronic designs and components. Usually, but not always, this means micrometre-sc ...
manufacturing Manufacturing is the creation or production of goods with the help of equipment, labor, machines, tools, and chemical or biological processing or formulation. It is the essence of secondary sector of the economy. The term may refer to a r ...
terms ''This is a list of terms used in the manufacture of electronic micro-components. Many of the terms are already defined and explained in Wikipedia; this glossary is for looking up, comparing, and reviewing the terms. You can help enhance this page by adding new terms or clarifying definitions of existing ones.'' * 2.5D integration – an advanced integrated circuit packaging technology that bonds dies and/or chiplets onto an interposer for enclosure within a single package * 3D integration – an advanced
semiconductor A semiconductor is a material which has an electrical resistivity and conductivity, electrical conductivity value falling between that of a electrical conductor, conductor, such as copper, and an insulator (electricity), insulator, such as glas ...
technology that incorporates multiple layers of
circuitry An electronic circuit is composed of individual electronic components, such as resistors, transistors, capacitors, inductors and diodes, connected by conductive wires or traces through which electric current can flow. It is a type of electrical ...
into a single chip, integrated both vertically and horizontally * 3D-IC (also 3DIC or 3D IC) –
Three-dimensional integrated circuit A three-dimensional integrated circuit (3D IC) is a MOSFET, MOS (metal-oxide semiconductor) integrated circuit (IC) manufactured by stacking as many as 16 or more ICs and interconnecting them vertically using, for instance, through-silicon vias (TS ...
; an integrated circuit built with 3D integration * advanced packaging – the aggregation and interconnection of components before traditional packaging * ALD – see atomic layer deposition *
atomic layer deposition Atomic layer deposition (ALD) is a thin-film deposition technique based on the sequential use of a gas-phase chemical process; it is a subclass of chemical vapour deposition. The majority of ALD reactions use two chemicals called precursors (also ...
(ALD) – chemical vapor deposition process by which very thin films of a controlled composition are grown *
back end of line The back end of line (BEOL) is the second portion of IC fabrication where the individual devices (transistors, capacitors, resistors, etc.) get interconnected with wiring on the wafer, the metalization layer. Common metals are copper and alumi ...
(BEoL) – wafer processing steps from the creation of metal interconnect layers through the final etching step that creates pad openings (see also front end of line, far back end of line, post-fab) * BEoL – see back end of line * bonding – any of several technologies that attach one
electronic circuit An electronic circuit is composed of individual electronic components, such as resistors, transistors, capacitors, inductors and diodes, connected by conductive wires or traces through which electric current can flow. It is a type of electrical ...
or
component Circuit Component may refer to: •Are devices that perform functions when they are connected in a circuit.   In engineering, science, and technology Generic systems *System components, an entity with discrete structure, such as an assemb ...
to another; see wire bonding, thermocompression bonding, flip chip, hybrid bonding, etc. *
breadboard A breadboard, solderless breadboard, or protoboard is a construction base used to build semi-permanent prototypes of electronic circuits. Unlike a perfboard or stripboard, breadboards do not require soldering or destruction of tracks and are ...
– a construction base for
prototyping A prototype is an early sample, model, or release of a product built to test a concept or process. It is a term used in a variety of contexts, including semantics, design, electronics, and software programming. A prototype is generally used to ...
of
electronics The field of electronics is a branch of physics and electrical engineering that deals with the emission, behaviour and effects of electrons using electronic devices. Electronics uses active devices to control electron flow by amplification ...
* bumping – the formation of microbumps on the surface of an
electronic circuit An electronic circuit is composed of individual electronic components, such as resistors, transistors, capacitors, inductors and diodes, connected by conductive wires or traces through which electric current can flow. It is a type of electrical ...
in preparation for
flip chip Flip chip, also known as controlled collapse chip connection or its abbreviation, C4, is a method for interconnecting dies such as semiconductor devices, IC chips, integrated passive devices and microelectromechanical systems (MEMS), to externa ...
assembly * carrier wafer – a wafer that is attached to
dies Dies may refer to: * Dies (deity), the Roman counterpart of the Greek goddess Hemera, the personification of day, daughter of Nox (Night) and Erebus (Darkness). * Albert Christoph Dies (1755–1822), German painter, composer, and biographer * Jos ...
, chiplets, or another wafer during intermediate steps, but is not a part of the finished device *
chip Chromatin immunoprecipitation (ChIP) is a type of immunoprecipitation experimental technique used to investigate the interaction between proteins and DNA in the cell. It aims to determine whether specific proteins are associated with specific genomi ...
– an integrated circuit; may refer to either a bare die or a packaged device *
chip carrier In electronics, a chip carrier is one of several kinds of surface-mount technology packages for integrated circuits (commonly called "chips"). Connections are made on all four edges of a square package; compared to the internal cavity for mounti ...
– a package built to contain an integrated circuit *
chiplet A chiplet is a tiny integrated circuit (IC) that contains a well-defined subset of functionality. It is designed to be combined with other chiplets on an interposer in a single package. A set of chiplets can be implemented in a mix-and-match "LEGO ...
– a small die designed to be integrated with other components within a single package *
chemical-mechanical polishing Chemical mechanical polishing (CMP) or planarization is a process of smoothing surfaces with the combination of chemical and mechanical forces. It can be thought of as a hybrid of chemical etching and free abrasive polishing. Description The proc ...
(CMP) – smoothing a surface with the combination of chemical and mechanical forces, using an abrasive/corrosive chemical slurry and a polishing pad * circuit board – see printed circuit board * class 10, class 100, etc. – a measure of the air quality in a cleanroom; class 10 means fewer than 10 airborne particles of size 0.5 μm or larger are permitted per cubic foot of air *
cleanroom A cleanroom or clean room is an engineered space, which maintains a very low concentration of airborne particulates. It is well isolated, well-controlled from contamination, and actively cleansed. Such rooms are commonly needed for scientif ...
(clean room) – a specialized manufacturing environment that maintains extremely low levels of
particulates Particulates – also known as atmospheric aerosol particles, atmospheric particulate matter, particulate matter (PM) or suspended particulate matter (SPM) – are microscopic particles of solid or liquid matter suspended in the air. The ter ...
* CMP – see chemical-mechanical polishing * copper pillar – a type of microbump with embedded thin-film thermoelectric material *
deep reactive-ion etching Deep reactive-ion etching (DRIE) is a highly anisotropic etch process used to create deep penetration, steep-sided holes and trenches in wafers/substrates, typically with high aspect ratios. It was developed for microelectromechanical systems ( ...
(DRIE) – process that creates deep, steep-sided holes and trenches in a wafer or other substrate, typically with high aspect ratios * dicing – cutting a processed semiconductor wafer into separate dies *
die Die, as a verb, refers to death, the cessation of life. Die may also refer to: Games * Die, singular of dice, small throwable objects used for producing random numbers Manufacturing * Die (integrated circuit), a rectangular piece of a semicondu ...
– an unpackaged integrated circuit; a rectangular piece cut (diced) from a processed wafer * die-to-die (also die-on-die) stacking – bonding and integrating individual bare dies atop one another * die-to-wafer (also die-on-wafer) stacking – bonding and integrating dies onto a wafer before dicing the wafer * doping – intentional introduction of impurities into a
semiconductor material A semiconductor is a material which has an electrical conductivity value falling between that of a conductor, such as copper, and an insulator, such as glass. Its resistivity falls as its temperature rises; metals behave in the opposite way. ...
for the purpose of modulating its properties * DRIE – see deep reactive-ion etching * e-beam – see electron-beam processing * EDA – see electronic design automation *
electron-beam processing Electron-beam processing or electron irradiation (EBI) is a process that involves using electrons, usually of high energy, to treat an object for a variety of purposes. This may take place under elevated temperatures and nitrogen atmosphere. Poss ...
(e-beam) – irradiation with high energy electrons for lithography, inspection, etc. *
electronic design automation Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing Electronics, electronic systems such as integrated circuits and printed circuit boards. The tools wo ...
(EDA) –
software tools A programming tool or software development tool is a computer program that software developers use to create, debug, maintain, or otherwise support other programs and applications. The term usually refers to relatively simple programs, that can ...
for designing electronic systems *
etching Etching is traditionally the process of using strong acid or mordant to cut into the unprotected parts of a metal surface to create a design in intaglio (incised) in the metal. In modern manufacturing, other chemicals may be used on other types ...
(etch, etch processing) – chemically removing layers from the surface of a wafer during
semiconductor device fabrication Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are pres ...
* fab – a
semiconductor fabrication plant In the microelectronics industry, a semiconductor fabrication plant (commonly called a fab; sometimes foundry) is a factory where devices such as integrated circuits are manufactured. Fabs require many expensive devices to function. Estimates ...
*
fan-out wafer-level packaging Fan-out wafer-level packaging (also known as wafer-level fan-out packaging, fan-out WLP, FOWL packaging, FO-WLP, FOWLP, etc.) is an integrated circuit packaging technology, and an enhancement of standard wafer-level packaging (WLP) solutions. In c ...
– an extension of wafer-level packaging in which the wafer is diced, dies are positioned on a carrier wafer and molded, and then a redistribution layer is added * far back end of line (FBEoL) – after normal back end of line, additional in-fab processes to create RDL, copper pillars, microbumps, and other packaging-related structures (see also front end of line, back end of line, post-fab) * FBEoL – see far back end of line * FEoL – see front end of line *
flip chip Flip chip, also known as controlled collapse chip connection or its abbreviation, C4, is a method for interconnecting dies such as semiconductor devices, IC chips, integrated passive devices and microelectromechanical systems (MEMS), to externa ...
– interconnecting
electronic components An electronic component is any basic discrete device or physical entity in an electronic system used to affect electrons or their associated fields. Electronic components are mostly industrial products, available in a singular form and are not ...
by means of microbumps that have been deposited onto the contact pads *
front end of line The front-end-of-line (FEOL) is the first portion of IC fabrication where the individual components (transistors, capacitors, resistors, etc.) are patterned in the semiconductor. FEOL generally covers everything up to (but not including) the ...
(FEoL) – initial wafer processing steps up to (but not including) metal interconnect (see also back end of line, far back end of line, post-fab) * heterogeneous integration – combining different types of integrated circuitry into a single device; differences may be in fabrication process, technology node, substrate, or function * HIC - see hybrid integrated circuit * hybrid bonding – a permanent bond that combines a dielectric bond with embedded metal to form interconnections *
hybrid integrated circuit A hybrid integrated circuit (HIC), hybrid microcircuit, hybrid circuit or simply hybrid is a miniaturized electronic circuit constructed of individual devices, such as semiconductor devices (e.g. transistors, diodes or monolithic ICs) and pa ...
(HIC) – a miniaturized circuit constructed of both semiconductor devices and passive components bonded to a substrate * IC – see integrated circuit *
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
(IC) – a miniature
electronic circuit An electronic circuit is composed of individual electronic components, such as resistors, transistors, capacitors, inductors and diodes, connected by conductive wires or traces through which electric current can flow. It is a type of electrical ...
formed by microfabrication on semiconducting material, performing the same function as a larger circuit made from
discrete components An electronic component is any basic discrete device or physical entity in an electronic system used to affect electrons or their associated fields. Electronic components are mostly industrial products, available in a singular form and are not ...
*
interconnect In telecommunications, interconnection is the physical linking of a carrier's network with equipment or facilities not belonging to that network. The term may refer to a connection between a carrier's facilities and the equipment belonging to ...
(n.) – wires or signal traces that carry electrical signals between the elements in an electronic device *
interposer An interposer is an electrical interface routing between one socket or connection to another. The purpose of an interposer is to spread a connection to a wider pitch or to reroute a connection to a different connection. Interposer comes from t ...
– a small piece of semiconductor material (glass, silicon, or organic) built to host and interconnect two or more dies and/or chiplets in a single package * lead – a metal structure connecting the
circuitry An electronic circuit is composed of individual electronic components, such as resistors, transistors, capacitors, inductors and diodes, connected by conductive wires or traces through which electric current can flow. It is a type of electrical ...
inside a package with components outside the package *
lead frame A lead frame (pronounced ) is the metal structure inside a chip package that carries signals from the die to the outside. The lead frame consists of a central die pad, where the die is placed, surrounded by leads, metal conductors leading away ...
(or leadframe) – a metal structure inside a package that connects the chip to its leads * mask – see photomask * MCM – see multi-chip module * microbump – a very small
solder ball In integrated circuit packaging, a solder ball, also a solder bump (ofter referred to simply as "ball" or "bumps") is a ball of solder that provides the contact between the chip package and the printed circuit board, as well as between stacked pa ...
that provides contact between two stacked physical layers of electronics *
microelectronics Microelectronics is a subfield of electronics. As the name suggests, microelectronics relates to the study and manufacture (or microfabrication) of very small electronic designs and components. Usually, but not always, this means micrometre-sc ...
– the study and manufacture (or microfabrication) of very small electronic designs and components *
microfabrication Microfabrication is the process of fabricating miniature structures of micrometre scales and smaller. Historically, the earliest microfabrication processes were used for integrated circuit fabrication, also known as "semiconductor manufacturing" o ...
– the process of fabricating miniature structures of sub-micron scale *
Moore’s Law Moore's law is the observation that the number of transistors in a dense integrated circuit (IC) doubles about every two years. Moore's law is an observation and projection of a historical trend. Rather than a law of physics, it is an empiri ...
– an observation by
Gordon Moore Gordon Earle Moore (born January 3, 1929) is an American businessman, engineer, and the co-founder and chairman emeritus of Intel Corporation. He is also the original proponent of Moore's law. As of March 2021, Moore's net worth is rep ...
that the
transistor count The transistor count is the number of transistors in an electronic device (typically on a single substrate or "chip"). It is the most common measure of integrated circuit complexity (although the majority of transistors in modern microprocessors ...
per square inch on ICs doubled every year, and the prediction that it would continue to do so * more than Moore – a catch-all phrase for technologies that attempt to bypass Moore’s Law, creating smaller, faster, or more powerful ICs without shrinking the size of the
transistor upright=1.4, gate (G), body (B), source (S) and drain (D) terminals. The gate is separated from the body by an insulating layer (pink). A transistor is a semiconductor device used to Electronic amplifier, amplify or electronic switch, switch e ...
*
multi-chip module A multi-chip module (MCM) is generically an electronic assembly (such as a package with a number of conductor terminals or "pins") where multiple integrated circuits (ICs or "chips"), semiconductor dies and/or other discrete components are int ...
(MCM) – an electronic assembly integrating multiple ICs, dies, chiplets, etc. onto a unifying substrate so that they can be treated as one IC * nanofabrication – design and manufacture of devices with dimensions measured in nanometers * node – see technology node * optical mask – see photomask * package – a chip carrier; a protective structure that holds an integrated circuit and provides connections to other components *
packaging Packaging is the science, art and technology of enclosing or protecting products for distribution, storage, sale, and use. Packaging also refers to the process of designing, evaluating, and producing packages. Packaging can be described as a co ...
– the final step in device fabrication, when the device is encapsulated in a protective package. * pad (
contact pad Contact pads or bond pads are designated surface areas of a printed circuit board (PCB) or die of an integrated circuit. Possibilities to contact to pads include soldering, wirebonding, flip chip Flip chip, also known as controlled collapse ...
or bond pad) – designated surface area on a printed circuit board or die where an electrical connection is to be made * pad opening – a hole in the final passivation layer that exposes a pad * parasitics (
parasitic structure In a semiconductor device, a parasitic structure is a portion of the device that resembles in structure some other, simpler semiconductor device, and causes the device to enter an unintended mode of operation when subjected to conditions outside ...
s,
parasitic element In a radio antenna, a passive radiator or parasitic element is a conductive element, typically a metal rod, which is not electrically connected to anything else. Multielement antennas such as the Yagi–Uda antenna typically consist of a " ...
s) – unwanted intrinsic electrical elements that are created by proximity to actual circuit elements * passivation layer – an oxide layer that isolates the underlying surface from electrical and chemical conditions * PCB – see printed circuit board *
photolithography In integrated circuit manufacturing, photolithography or optical lithography is a general term used for techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protect ...
– a manufacturing process that uses light to transfer a geometric pattern from a photomask to a photoresist on the substrate *
photomask A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography and the production of integrated circuits (ICs or "chips") in particular. Masks are used ...
(optical mask) – an opaque plate with holes or transparencies that allow light to shine through in a defined pattern *
photoresist A photoresist (also known simply as a resist) is a light-sensitive material used in several processes, such as photolithography and photoengraving, to form a patterned coating on a surface. This process is crucial in the electronic industry. T ...
– a light-sensitive material used in processes such as photolithography to form a patterned coating on a surface * pitch – the distance between the centers of repeated elements * planarization – a process that makes a surface planar (flat) * polishing – see chemical-mechanical polishing * post-fab – processes that occur after cleanroom fabrication is complete; performed outside of the cleanroom environment, often by another company *
printed circuit board A printed circuit board (PCB; also printed wiring board or PWB) is a medium used in Electrical engineering, electrical and electronic engineering to connect electronic components to one another in a controlled manner. It takes the form of a L ...
(PCB) – a board that supports electrical or electronic components and connects them with etched traces and pads *
quilt packaging Quilt Packaging (QP) is an integrated circuit packaging and chip-to-chip interconnect packaging technology that utilizes “ nodule” structures that extend out horizontally from the edges of microchips to make electrically and mechanically ro ...
– a technology that makes electrically and mechanically robust chip-to-chip interconnections by using horizontal structures at the chip edges *
redistribution layer A redistribution layer (RDL) is an extra metal layer on an integrated circuit that makes its IO pads available in other locations of the chip, for better access to the pads where necessary. When an integrated circuit is manufactured, it usually h ...
(RDL) – an extra metal layer that makes the pads of an IC available in other locations of the chip * reticle – a partial plate with holes or transparencies used in photolithography
integrated circuit fabrication Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are pres ...
* RDL – see redistribution layer *
semiconductor A semiconductor is a material which has an electrical resistivity and conductivity, electrical conductivity value falling between that of a electrical conductor, conductor, such as copper, and an insulator (electricity), insulator, such as glas ...
– a material with an
electrical conductivity Electrical resistivity (also called specific electrical resistance or volume resistivity) is a fundamental property of a material that measures how strongly it resists electric current. A low resistivity indicates a material that readily allow ...
value falling between that of a conductor and an insulator; its resistivity falls as its temperature rises * silicon – the semiconductor material used most frequently as a substrate in electronics *
silicon on insulator In semiconductor manufacturing, silicon on insulator (SOI) technology is fabrication of silicon semiconductor devices in a layered silicon–insulator–silicon substrate, to reduce parasitic capacitance within the device, thereby improving perfo ...
(SoI) – a layered silicon–insulator–silicon substrate * SiP – see system in package * SoC – see system on chip * SoI – see silicon on insulator * split-fab (split fabrication, split manufacturing) – performing FEoL wafer processing at one fab and BEoL at another *
sputtering In physics, sputtering is a phenomenon in which microscopic particles of a solid material are ejected from its surface, after the material is itself bombarded by energetic particles of a plasma or gas. It occurs naturally in outer space, and can ...
(
sputter deposition Sputter deposition is a physical vapor deposition (PVD) method of thin film deposition by the phenomenon of sputtering. This involves ejecting material from a "target" that is a source onto a "substrate" such as a silicon wafer. Resputtering is re ...
) – a thin film deposition method that erodes material from a target (source) onto a substrate *
stepper A stepper is a device used in the manufacture of integrated circuits (ICs) that is similar in operation to a slide projector or a photographic enlarger. ''Stepper'' is short for step-and-repeat camera. Steppers are an essential part of the com ...
– a step-and-scan system used in photolithography * substrate – the semiconductor material underlying the
circuitry An electronic circuit is composed of individual electronic components, such as resistors, transistors, capacitors, inductors and diodes, connected by conductive wires or traces through which electric current can flow. It is a type of electrical ...
of an IC, usually silicon *
system in package A system in a package (SiP) or system-in-package is a number of integrated circuits enclosed in one or more chip carrier packages that may be stacked using package on package. The SiP performs all or most of the functions of an electronic system ...
(SiP) – a number of integrated circuits (chips or chiplets) enclosed in a single package that functions as a complete system *
system on chip A system on a chip or system-on-chip (SoC ; pl. ''SoCs'' ) is an integrated circuit that integrates most or all components of a computer or other electronic system. These components almost always include a central processing unit (CPU), memory ...
(SoC) – a single IC that integrates all or most components of a computer or other electronic system * technology node – an industry standard
semiconductor manufacturing Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are pres ...
process generation defined by the minimum size of the transistor gate length *
thermocompression bonding Thermocompression bonding describes a wafer bonding technique and is also referred to as diffusion bonding, pressure joining, thermocompression welding or solid-state welding. Two metals, e.g. gold-Gold, gold (Au), are brought into atomic contact ap ...
– a bonding technique where two metal surfaces are brought into contact with simultaneous application of force and heat *
thin-film A thin film is a layer of material ranging from fractions of a nanometer (monolayer) to several micrometers in thickness. The controlled synthesis of materials as thin films (a process referred to as deposition) is a fundamental step in many ap ...
deposition – a technique for depositing a thin film of material onto a substrate or onto previously deposited layers; in IC manufacturing, the layers are
insulators Insulator may refer to: * Insulator (electricity), a substance that resists electricity ** Pin insulator, a device that isolates a wire from a physical support such as a pin on a utility pole ** Strain insulator, a device that is designed to work ...
, semiconductors, and conductors *
through-silicon via In electronic engineering, a through-silicon via (TSV) or through-chip via is a vertical electrical connection (via) that passes completely through a silicon wafer or die. TSVs are high-performance interconnect techniques used as an alternative t ...
(TSV) – a vertical electrical connection that pierces the (usually silicon) substrate * trace (
signal trace In electronics, a signal trace or circuit trace on a printed circuit board (PCB) or integrated circuit (IC) is the equivalent of a wire for conducting signals. Each trace consists of a flat, narrow part of the copper foil that remains after etching. ...
) – the microelectronic equivalent of a wire; a tiny strip of conductor (copper, aluminum, etc.) that carries power, ground, or signal horizontally across a circuit * TSV – see through-silicon via *
via Via or VIA may refer to the following: Science and technology * MOS Technology 6522, Versatile Interface Adapter * ''Via'' (moth), a genus of moths in the family Noctuidae * Via (electronics), a through-connection * VIA Technologies, a Taiwan ...
– a vertical electrical connection between layers in a circuit *
wafer A wafer is a crisp, often sweet, very thin, flat, light and dry biscuit, often used to decorate ice cream, and also used as a garnish on some sweet dishes. Wafers can also be made into cookies with cream flavoring sandwiched between them. They ...
– a disk of semiconductor material (usually silicon) on which
electronic circuitry An electronic circuit is composed of individual electronic components, such as resistors, transistors, capacitors, inductors and diodes, connected by conductive wires or traces through which electric current can flow. It is a type of electrical ...
can be fabricated *
wafer-level packaging Wafer-level packaging (WLP) is a process where packaging components are attached to an integrated circuit (IC) ''before'' the wafer – on which the IC is fabricated – is diced. In WSP, the top and bottom layers of the packaging and the solder ...
(WLP) – packaging ICs before they are diced, while they are still part of the wafer * wafer-to-wafer (also wafer-on-wafer) stacking – bonding and integrating whole processed wafers atop one another before dicing the stack into dies *
wire bonding Wire bonding is the method of making interconnections between an integrated circuit (IC) or other semiconductor device and its packaging during semiconductor device fabrication. Although less common, wire bonding can be used to connect an IC ...
– using tiny wires to interconnect an IC or other semiconductor device with its package (see also thermocompression bonding, flip chip, hybrid bonding, etc.) * WLP – see wafer-level packaging Glossaries of technology Semiconductor device fabrication Electronics manufacturing Semiconductors Engineering