Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of
software tools
A programming tool or software development tool is a computer program that is used to software development, develop another computer program, usually by helping the developer manage computer files. For example, a programmer may use a tool called ...
for designing
electronic systems such as
integrated circuit
An integrated circuit (IC), also known as a microchip or simply chip, is a set of electronic circuits, consisting of various electronic components (such as transistors, resistors, and capacitors) and their interconnections. These components a ...
s and
printed circuit board
A printed circuit board (PCB), also called printed wiring board (PWB), is a Lamination, laminated sandwich structure of electrical conduction, conductive and Insulator (electricity), insulating layers, each with a pattern of traces, planes ...
s. The tools work together in a
design flow
Design flows are the explicit combination of electronic design automation tools to accomplish the design of an integrated circuit. Moore's law has driven the entire IC implementation RTL to GDSII design flows from one which uses primarily sta ...
that chip designers use to design and analyze entire
semiconductor
A semiconductor is a material with electrical conductivity between that of a conductor and an insulator. Its conductivity can be modified by adding impurities (" doping") to its crystal structure. When two regions with different doping level ...
chips. Since a modern
semiconductor
A semiconductor is a material with electrical conductivity between that of a conductor and an insulator. Its conductivity can be modified by adding impurities (" doping") to its crystal structure. When two regions with different doping level ...
chip can have billions of components, EDA tools are essential for their design; this article in particular describes EDA specifically with respect to
integrated circuit
An integrated circuit (IC), also known as a microchip or simply chip, is a set of electronic circuits, consisting of various electronic components (such as transistors, resistors, and capacitors) and their interconnections. These components a ...
s (ICs).
History
Early days
The earliest electronic design automation is attributed to
IBM
International Business Machines Corporation (using the trademark IBM), nicknamed Big Blue, is an American Multinational corporation, multinational technology company headquartered in Armonk, New York, and present in over 175 countries. It is ...
with the documentation of its
700 series computers in the 1950s.
Prior to the development of EDA,
integrated circuit
An integrated circuit (IC), also known as a microchip or simply chip, is a set of electronic circuits, consisting of various electronic components (such as transistors, resistors, and capacitors) and their interconnections. These components a ...
s were designed by hand and manually laid out.
Some advanced shops used geometric software to generate tapes for a
Gerber photoplotter, responsible for generating a monochromatic exposure image, but even those copied digital recordings of mechanically drawn components. The process was fundamentally graphic, with the translation from electronics to graphics done manually; the best-known company from this era was
Calma
Calma Company, based in Sunnyvale, California, was, between 1965 and 1988, a vendor of digitizers and minicomputer-based graphics systems targeted at the cartographic and electronic, mechanical and architectural design markets.
In the electroni ...
, whose
GDSII format is still in use today. By the mid-1970s, developers started to automate circuit design in addition to drafting and the first
placement and routing tools were developed; as this occurred, the proceedings of the
Design Automation Conference
The Design Automation Conference (DAC - The chips to systems conference) is an annual event that combines a technical conference with a trade show. It focuses on semiconductor and electronic system design, covering topics such as electronic desig ...
catalogued the large majority of the developments of the time.
The next era began following the publication of "Introduction to
VLSI Systems" by
Carver Mead
Carver Andress Mead (born 1 May 1934) is an American scientist and engineer. He currently holds the position of Gordon and Betty Moore Professor Emeritus of Engineering and Applied Science at the California Institute of Technology (Caltech), ...
and
Lynn Conway
Lynn Ann Conway (January 2, 1938 – June 9, 2024) was an American computer scientist, electrical engineer, and transgender activist.
In the 1960s, while working at IBM, Conway invented generalized dynamic instruction handling, a key advance ...
in 1980, and is considered the standard textbook for chip design.
The result was an increase in the complexity of the chips that could be designed, with improved access to
design verification tools that used
logic simulation
Logic simulation is the use of simulation software to predict the behavior of digital circuits and hardware description languages. Simulation can be performed at varying degrees of physical abstraction, such as at the transistor level, gate ...
. The chips were easier to lay out and more likely to function correctly, since their designs could be simulated more thoroughly prior to construction. Although the languages and tools have evolved, this general approach of specifying the desired behavior in a textual programming language and letting the tools derive the detailed physical design remains the basis of digital IC design today.
The earliest EDA tools were produced academically. One of the most famous was the "Berkeley VLSI Tools Tarball", a set of
UNIX
Unix (, ; trademarked as UNIX) is a family of multitasking, multi-user computer operating systems that derive from the original AT&T Unix, whose development started in 1969 at the Bell Labs research center by Ken Thompson, Dennis Ritchie, a ...
utilities used to design early VLSI systems. Widely used were the
Espresso heuristic logic minimizer, responsible for circuit complexity reductions and
Magic, a computer-aided design platform. Another crucial development was the formation of
MOSIS
MOSIS (Metal Oxide Semiconductor Implementation Service) is multi-project wafer service that provides metal–oxide–semiconductor (MOS) chip design tools and related services that enable universities, government agencies, research institutes ...
, a consortium of universities and fabricators that developed an inexpensive way to train student chip designers by producing real integrated circuits. The basic concept was to use reliable, low-cost, relatively low-technology IC processes and pack a large number of projects per
wafer, with several copies of chips from each project remaining preserved. Cooperating fabricators either donated the processed wafers or sold them at cost, as they saw the program as helpful to their own long-term growth.
Commercial birth
1981 marked the beginning of EDA as an industry. For many years, the larger electronic companies, such as
Hewlett-Packard
The Hewlett-Packard Company, commonly shortened to Hewlett-Packard ( ) or HP, was an American multinational information technology company. It was founded by Bill Hewlett and David Packard in 1939 in a one-car garage in Palo Alto, California ...
,
Tektronix
Tektronix, Inc., historically widely known as Tek, is an American company best known for manufacturing test and measurement devices such as oscilloscopes, logic analyzers, and video and mobile test protocol equipment. Originally an independent c ...
and
Intel
Intel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California, and Delaware General Corporation Law, incorporated in Delaware. Intel designs, manufactures, and sells computer compo ...
, had pursued EDA internally, with managers and developers beginning to spin out of these companies to concentrate on EDA as a business.
Daisy Systems
Daisy Systems Corporation, incorporated in 1981 in Mountain View, California, was a computer-aided engineering company, a pioneer in the electronic design automation (EDA) industry.
History
Daisy Systems Corporation was founded in January ...
,
Mentor Graphics
Mentor Graphics Corporation was a US-based electronic design automation (EDA) multinational corporation for electrical engineering and electronics, headquartered in Wilsonville, Oregon. Founded in 1981, the company distributed products that ass ...
and
Valid Logic Systems
Cadence Design Systems, Inc. (stylized as cādence)Investor's Business DailCEO Lip-Bu Tan Molds Troubled Cadence Into Long-Term LeaderRetrieved November 12, 2020 is an American multinational technology and computational software company. Headqua ...
were all founded around this time and collectively referred to as DMV. In 1981, the
U.S. Department of Defense additionally began funding of
VHDL
VHDL (Very High Speed Integrated Circuit Program, VHSIC Hardware Description Language) is a hardware description language that can model the behavior and structure of Digital electronics, digital systems at multiple levels of abstraction, ran ...
as a hardware description language. Within a few years, there were many companies specializing in EDA, each with a slightly different emphasis.
The first trade show for EDA was held at the
Design Automation Conference
The Design Automation Conference (DAC - The chips to systems conference) is an annual event that combines a technical conference with a trade show. It focuses on semiconductor and electronic system design, covering topics such as electronic desig ...
in 1984 and in 1986,
Verilog
Verilog, standardized as IEEE 1364, is a hardware description language (HDL) used to model electronic systems. It is most commonly used in the design and verification of digital circuits, with the highest level of abstraction being at the re ...
, another popular high-level design language, was first introduced as a hardware description language by
Gateway Design Automation
"Verilog HDL originated at Automated Integrated Design Systems (later renamed as Gateway Design Automation) in 1985. The company was privately held at that time by Dr. Prabhu Goel, the inventor of the PODEM (Path-Oriented Decision Making) test ...
. Simulators quickly followed these introductions, permitting direct simulation of chip designs and executable specifications. Within several years, back-ends were developed to perform
logic synthesis
In computer engineering, logic synthesis is a process by which an abstract specification of desired circuit behavior, typically at register transfer level (RTL), is turned into a design implementation in terms of logic gates, typically by a co ...
.
Modern day
Current digital flows are extremely modular, with front ends producing standardized design descriptions that compile into invocations of units similar to cells without regard to their individual technology. Cells implement logic or other electronic functions via the utilisation of a particular integrated circuit technology. Fabricators generally provide libraries of components for their production processes, with simulation models that fit standard simulation tools.
Most analog circuits are still designed in a manual fashion, requiring specialist knowledge that is unique to analog design (such as matching concepts).
Hence, analog EDA tools are far less modular, since many more functions are required, they interact more strongly and the components are, in general, less ideal.
EDA for electronics has rapidly increased in importance with the continuous scaling of
semiconductor
A semiconductor is a material with electrical conductivity between that of a conductor and an insulator. Its conductivity can be modified by adding impurities (" doping") to its crystal structure. When two regions with different doping level ...
technology. Some users are
foundry
A foundry is a factory that produces metal castings. Metals are cast into shapes by melting them into a liquid, pouring the metal into a mold, and removing the mold material after the metal has solidified as it cools. The most common metals pr ...
operators, who operate the
semiconductor fabrication
Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuits (ICs) such as microprocessors, microcontrollers, and memories (such as RAM and flash memory). It is a multiple-step photol ...
facilities ("fabs") and additional individuals responsible for utilising the technology design-service companies who use EDA software to evaluate an incoming design for manufacturing readiness. EDA tools are also used for programming design functionality into
FPGA
A field-programmable gate array (FPGA) is a type of configurable integrated circuit that can be repeatedly programmed after manufacturing. FPGAs are a subset of logic devices referred to as programmable logic devices (PLDs). They consist of a ...
s or field-programmable gate arrays, customisable integrated circuit designs.
Software focuses
Design
Design flow primarily remains characterised via several primary components; these include:
*
High-level synthesis
High-level synthesis (HLS), sometimes referred to as C synthesis, electronic system-level (ESL) synthesis, algorithmic synthesis, or behavioral synthesis, is an automated design process that takes an abstract behavioral specification of a digital ...
(additionally known as behavioral synthesis or algorithmic synthesis) – The high-level design description (e.g. in C/C++) is converted into
RTL or the register transfer level, responsible for representing circuitry via the utilisation of interactions between registers.
*
Logic synthesis
In computer engineering, logic synthesis is a process by which an abstract specification of desired circuit behavior, typically at register transfer level (RTL), is turned into a design implementation in terms of logic gates, typically by a co ...
– The translation of
RTL design description (e.g. written in Verilog or VHDL) into a discrete
netlist
In electronic design, a netlist is a description of the connectivity of an electronic circuit. In its simplest form, a netlist consists of a list of the electronic components in a circuit and a list of the nodes they are connected to. A netwo ...
or representation of logic gates.
*
Schematic capture
Schematic capture or schematic entry is a step in the design cycle of electronic design automation (EDA) at which the electronic diagram, or electronic schematic of the designed electronic circuit, is created by a designer. This is done interac ...
– For standard cell digital, analog, RF-like Capture CIS in Orcad by Cadence and ISIS in Proteus.
*
Layout
In general terms, a layout is a structured arrangement of items within certain limits, or a plan for such arrangement.
Specifically, layout may refer to:
* Page layout, the arrangement of visual elements on a page
** Comprehensive layout (comp), ...
– usually
schematic-driven layout, like Layout in Orcad by Cadence, ARES in Proteus
Simulation
*
Transistor simulation – low-level transistor-simulation of a schematic/layout's behavior, accurate at device-level.
*
Logic simulation
Logic simulation is the use of simulation software to predict the behavior of digital circuits and hardware description languages. Simulation can be performed at varying degrees of physical abstraction, such as at the transistor level, gate ...
– digital-simulation of an
RTL or gate-netlist's digital (
Boolean 0/1) behavior, accurate at Boolean-level.
* Behavioral simulation – high-level simulation of a design's architectural operation, accurate at cycle-level or interface-level.
*
Hardware emulation – Use of special purpose hardware to emulate the logic of a proposed design. Can sometimes be plugged into a system in place of a yet-to-be-built chip; this is called
in-circuit emulation.
*
Technology CAD simulate and analyze the underlying process technology. Electrical properties of devices are derived directly from device physics
Analysis and verification
*
Functional verification: ensures
logic design
In computer engineering, logic synthesis is a process by which an abstract specification of desired circuit behavior, typically at register transfer level (RTL), is turned into a design implementation in terms of logic gates, typically by a co ...
matches specifications and executes tasks correctly. Includes dynamic functional verification via simulation, emulation, and prototypes.
* RTL Linting for adherence to coding rules such as syntax, semantics, and style.
*
Clock domain crossing verification (CDC check): similar to
linting, but these checks/tools specialize in detecting and reporting potential issues like
data loss
Data loss is an error condition in information systems in which information is destroyed by failures (like failed spindle motors or head crashes on hard drives) or neglect (like mishandling, careless handling or storage under unsuitable conditions) ...
,
meta-stability due to use of multiple
clock domains in the design.
*
Formal verification
In the context of hardware and software systems, formal verification is the act of proving or disproving the correctness of a system with respect to a certain formal specification or property, using formal methods of mathematics.
Formal ver ...
, also
model checking
In computer science, model checking or property checking is a method for checking whether a finite-state model of a system meets a given specification (also known as correctness). This is typically associated with hardware or software syst ...
: attempts to prove, by mathematical methods, that the system has certain desired properties, and that some undesired effects (such as
deadlock) cannot occur.
*
Equivalence checking: algorithmic comparison between a chip's RTL-description and synthesized gate-
netlist
In electronic design, a netlist is a description of the connectivity of an electronic circuit. In its simplest form, a netlist consists of a list of the electronic components in a circuit and a list of the nodes they are connected to. A netwo ...
, to ensure functional equivalence at the ''logical'' level.
*
Static timing analysis
Static timing analysis (STA) is a simulation method of computing the expected timing of a synchronous digital circuit without requiring a simulation of the full circuit.
High-performance integrated circuits have traditionally been characteri ...
: analysis of the timing of a circuit in an input-independent manner, hence finding a worst case over all possible inputs.
*
Layout extraction: starting with a proposed layout, compute the (approximate) electrical characteristics of every wire and device. Often used in conjunction with static timing analysis above to estimate the performance of the completed chip.
*
Electromagnetic field solvers, or just
field solvers, solve Maxwell's equations directly for cases of interest in IC and PCB design. They are known for being slower but more accurate than the
layout extraction above.
*
Physical verification, PV: checking if a design is physically manufacturable, and that the resulting chips will not have any function-preventing physical defects, and will meet original specifications.
Manufacturing preparation
*
Mask data preparation or MDP - The generation of actual
lithography
Lithography () is a planographic method of printing originally based on the miscibility, immiscibility of oil and water. The printing is from a stone (lithographic limestone) or a metal plate with a smooth surface. It was invented in 1796 by ...
photomask
A photomask (also simply called a mask) is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in photolithography for the production of integrated circuits (ICs or "chips") ...
s, utilised to physically manufacture the chip.
** ''Chip finishing'' which includes custom designations and structures to improve
manufacturability
Design for manufacturability (also sometimes known as design for manufacturing or DFM) is the general engineering practice of designing products in such a way that they are easy to manufacture. The concept exists in almost all engineering discipl ...
of the layout. Examples of the latter are a seal ring and filler structures.
** Producing a ''reticle layout'' with test patterns and alignment marks.
**''Layout-to-mask preparation'' that enhances layout data with graphics operations, such as
resolution enhancement techniques (RET) – methods for increasing the quality of the final
photomask
A photomask (also simply called a mask) is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in photolithography for the production of integrated circuits (ICs or "chips") ...
. This also includes
optical proximity correction (OPC) or
inverse lithography technology (ILT) – the up-front compensation for
diffraction
Diffraction is the deviation of waves from straight-line propagation without any change in their energy due to an obstacle or through an aperture. The diffracting object or aperture effectively becomes a secondary source of the Wave propagation ...
and
interference
Interference is the act of interfering, invading, or poaching. Interference may also refer to:
Communications
* Interference (communication), anything which alters, modifies, or disrupts a message
* Adjacent-channel interference, caused by extra ...
effects occurring later when chip is manufactured using this mask.
** ''
Mask generation'' – The generation of flat mask image from hierarchical design.
** ''
Automatic test pattern generation'' or ATPG – The generation of pattern data systematically to exercise as many logic-gates and other components as possible.
** ''
Built-in self-test
A built-in self-test (BIST) or built-in test (BIT) is a mechanism that permits a machine to test itself. Engineers design BISTs to meet requirements such as:
*high reliability
*lower repair cycle times
or constraints such as:
*limited techn ...
'' or BIST – The installation of self-contained test-controllers to automatically test a logic or memory structure in the design
Functional safety
*
Functional safety analysis, systematic computation of
failure in time (FIT) rates and diagnostic coverage metrics for designs in order to meet the compliance requirements for the desired safety integrity levels.
* Functional safety synthesis, add reliability enhancements to structured elements (modules, RAMs, ROMs, register files, FIFOs) to improve fault detection / fault tolerance. This includes (not limited to) addition of error detection and / or correction codes (Hamming), redundant logic for fault detection and fault tolerance (duplicate / triplicate) and protocol checks (interface parity, address alignment, beat count)
* Functional safety verification, running of a fault campaign, including insertion of faults into the design and verification that the safety mechanism reacts in an appropriate manner for the faults that are deemed covered.
Companies
Current
Market capitalization
Market capitalization, sometimes referred to as market cap, is the total value of a publicly traded company's outstanding common shares owned by stockholders.
Market capitalization is equal to the market price per common share multiplied by ...
and company name as of March 2023:
* $57.87 billion –
Synopsys
Synopsys, Inc. is an American electronic design automation (EDA) company headquartered in Sunnyvale, California, that focuses on silicon design and verification, silicon intellectual property and software security and quality. Synopsys sup ...
* $56.68 billion –
Cadence Design Systems
Cadence Design Systems, Inc. (stylized as cādence)Investor's Business DailCEO Lip-Bu Tan Molds Troubled Cadence Into Long-Term LeaderRetrieved November 12, 2020 is an American multinational corporation, multinational technology and computational ...
* $24.98 billion –
Ansys
* AU$4.88 billion –
Altium
Altium Limited is an American multinational software company that provides electronic design automation software to engineers who design printed circuit boards. Founded as Protel Systems Pty Ltd in Australia in 1985, the company has regional he ...
* ¥77.25 billion –
Zuken
is a Japanese multinational corporation, specializing in software and consulting services for end-to-end electrical and electronic engineering. Zuken came into existence as a pioneer in the development of computer-aided design (CAD) systems in J ...
Defunct
Market capitalization and company name :
* $2.33 billion –
Mentor Graphics
Mentor Graphics Corporation was a US-based electronic design automation (EDA) multinational corporation for electrical engineering and electronics, headquartered in Wilsonville, Oregon. Founded in 1981, the company distributed products that ass ...
;
Siemens
Siemens AG ( ) is a German multinational technology conglomerate. It is focused on industrial automation, building automation, rail transport and health technology. Siemens is the largest engineering company in Europe, and holds the positi ...
acquired Mentor in 2017 and renamed as Siemens EDA in 2021
* $507 million –
Magma Design Automation; Synopsys acquired Magma in February 2012
* NT$6.44 billion –
SpringSoft; Synopsys acquired SpringSoft in August 2012
Acquisitions
Many EDA companies acquire small companies with software or other technology that can be adapted to their core business. Most of the market leaders are amalgamations of many smaller companies and this trend is helped by the tendency of software companies to design tools as accessories that fit naturally into a larger vendor's suite of programs on
digital circuitry; many new tools incorporate analog design and mixed systems.
This is happening due to a trend to place
entire electronic systems on a single chip.
Technical conferences
*
Design Automation Conference
The Design Automation Conference (DAC - The chips to systems conference) is an annual event that combines a technical conference with a trade show. It focuses on semiconductor and electronic system design, covering topics such as electronic desig ...
*
International Conference on Computer-Aided Design
*
Design Automation and Test in Europe
Design, Automation & Test in Europe, or DATE is a yearly conference on the topic of electronic design automation, typically held in March or April, alternating between France and Germany. DATE is a combination of a technical conference and a smal ...
*
Asia and South Pacific Design Automation Conference
*
Symposia on VLSI Technology and Circuits
See also
*
Computer-aided design
Computer-aided design (CAD) is the use of computers (or ) to aid in the creation, modification, analysis, or optimization of a design. This software is used to increase the productivity of the designer, improve the quality of design, improve c ...
(CAD)
*
Circuit design
In electrical engineering, the process of circuit design can cover systems ranging from complex electronic systems down to the individual transistors within an integrated circuit. One person can often do the design process without needing a pl ...
*
EDA database
*''
Foundations and Trends in Electronic Design Automation''
*
Signoff (electronic design automation)
*
Comparison of EDA software
This page is a comparison of electronic design automation (EDA) software which is used today to design the near totality of electronic devices. Modern electronic devices are too complex to be designed without the help of a computer. Electronic dev ...
*
Platform-based design
*
Silicon compiler
A silicon compiler is an electronic design automation software system, software tool that is used for high-level synthesis of integrated circuits. Such a tool takes a user's specification of an Integrated circuit design, IC design as input and auto ...
References
;Notes
* http://www.staticfreesoft.com/documentsTextbook.html Computer Aids for VLSI Design by Steven M. Rubin
* ''Fundamentals of Layout Design for Electronic Circuits'', by Lienig, Scheible, Springer, , 2020
* ''VLSI Physical Design: From Graph Partitioning to Timing Closure'', by Kahng, Lienig, Markov and Hu, , 2022
* ''Electronic Design Automation For Integrated Circuits Handbook'', by Lavagno, Martin, and Scheffer, , 2006
* ''The Electronic Design Automation Handbook'', by Dirk Jansen et al., Kluwer Academic Publishers, , 2003, available also in German (2005)
* ''Combinatorial Algorithms for Integrated Circuit Layout'', by Thomas Lengauer, , Teubner Verlag, 1997.
{{DEFAULTSORT:Electronic Design Automation
Electronic engineering