PicoBlaze
   HOME

TheInfoList



OR:

PicoBlaze is the designation of a series of three free soft processor cores from
Xilinx Xilinx, Inc. ( ) was an American technology and semiconductor company that primarily supplied programmable logic devices. The company was known for inventing the first commercially viable field-programmable gate array (FPGA) and creating the fi ...
for use in their
FPGA A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturinghence the term '' field-programmable''. The FPGA configuration is generally specified using a hardware d ...
and CPLD products. They are based on an 8-bit
RISC In computer engineering, a reduced instruction set computer (RISC) is a computer designed to simplify the individual instructions given to the computer to accomplish tasks. Compared to the instructions given to a complex instruction set comp ...
architecture and can reach speeds up to 100 MIPS on the
Virtex 4 * Virtex (FPGA) Virtex is the flagship family of FPGA products developed by Xilinx, a part of AMD. Other current product lines include Kintex (mid-range) and Artix (low-cost), each including configurations and models optimized for different applica ...
FPGA A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturinghence the term '' field-programmable''. The FPGA configuration is generally specified using a hardware d ...
's family. The
processors A central processing unit (CPU), also called a central processor, main processor or just processor, is the electronic circuitry that executes instructions comprising a computer program. The CPU performs basic arithmetic, logic, controlling, a ...
have an 8-bit address and data port for access to a wide range of peripherals. The license of the cores allows their free use, albeit only on Xilinx devices, and they come with development tools. Third-party tools are available from Mediatronix and others. Also PacoBlaze, a behavioral and device independent implementation of the cores exists and is released under the
BSD License BSD licenses are a family of permissive free software licenses, imposing minimal restrictions on the use and distribution of covered software. This is in contrast to copyleft licenses, which have share-alike requirements. The original BSD lice ...
. The PauloBlaze is an open source VHDL implementation under the Apache License. The PicoBlaze design was originally named KCPSM which stands for "Constant(K) Coded Programmable State Machine" (formerly "Ken Chapman's PSM"). Ken Chapman was the Xilinx systems designer who devised and implemented the microcontroller.


Instantiation

When instantiating a PicoBlaze microcontroller in
VHDL The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gate ...
, the respective KCPSM component name must be used. For example, for a PicoBlaze3 processor: component kcpsm3 is port ( address : out std_logic_vector(9 downto 0); instruction : in std_logic_vector(17 downto 0); port_id : out std_logic_vector(7 downto 0); write_strobe : out std_logic; out_port : out std_logic_vector(7 downto 0); read_strobe : out std_logic; in_port : in std_logic_vector(7 downto 0); interrupt : in std_logic; interrupt_ack : out std_logic; reset : in std_logic; clk : in std_logic ); end component;


Performance

All instructions execute in two clock cycles, making performance of the core instruction set deterministic. Interrupt response is not more than five clock cycles. As a resource optimization, it is possible for two PicoBlaze cores to share the same 1k x 18 instruction PROM, taking advantage of the dual-ported implementation of this block on Xilinx FPGAs.


Architectural notes

Xilinx documents the PicoBlaze as requiring just 96 FPGA slices. The small implementation size is achieved in part through a fairly rigid separation of the instruction sequencing side (program counter, call-return stack, implied stack pointer, and interrupt enable bit) from the execution side (ALU, register file, scratchpad RAM, Z/C status bits). The only information which flows from the compute side to the sequencing side are the zero and carry ALU status bits, when tested by the conditional JUMP and CALL instructions. It is not possible to implement computed jumps or function pointers. The only information which flows from the sequencing side to the execution side are operand fields: destination register (4 bits), ALU opcode (six bits), optional source register (4 bits), optional 8-bit immediate value/port-address, optional 6-bit scratchpad address. There is no mechanism to inspect the value of the stack pointer, the contents of the 31-entry stack, the interrupt enable bit, or the contents of program memory. The instruction sequencing side does not contain an adder, so relative branches and position independent code are not possible. All jump and call addresses are absolute. The PicoBlaze is poorly suited to programming in compiled languages such as C. In addition to the lack of support for function pointers, there are no instructions or addressing modes to expedite a stack-based calling convention. For PicoBlaze it takes two instructions to implement PUSH or POP and two instructions to implement relative addressing off a software-designated stack pointer. The PicoBlaze is better suited to a hand-optimized register-based calling convention. This does not preclude the use of a
Forth Forth or FORTH may refer to: Arts and entertainment * ''forth'' magazine, an Internet magazine * ''Forth'' (album), by The Verve, 2008 * ''Forth'', a 2011 album by Proto-Kaw * Radio Forth, a group of independent local radio stations in Scotla ...
-like data stack, and in fact the PicoBlaze is well suited to this approach, if the 64-byte scratchpad memory offers sufficient space.


See also

* MicroBlaze


External links

Processor and derivatives:
PicoBlaze on the Xilinx website

PicoBlaze user manual




* ttp://bleyer.org/pacoblaze PacoBlaze: an open source synthesizable and behavioral Verilog clone of PicoBlaze
PacoBlaze implementation description

NanoBlaze: a VHDL model with generics to define various sizes

PauloBlaze: an open source VHDL model fully compatible with the ISA of the kcpsm6
Tools:
Open source Picoblaze assembler

PicoBlaze Debugger, Software and RTL Hardware development with ModelSim

MDS, Professional IDE for Linux and Windows

FIDEx, an assembler IDE for Linux, MAC and Windows

pBlazASM, an open source assembler and simulator for Windows



kpicosim, an open source assembler IDE for Linux

Opbasm, Cross-platform Open Picoblaze macro assembler for kcpsm3 and kcpsm6

PicoBlaze Simulator in JavaScript


References

;Notes ;Bibliography * Ivanov Vl. '
Using a PicoBlaze Processor to Traffic Light Control.
'' Cybernetics and Information Technologies, 15, 5, Marin Drinov, 2015, Online , , pp. 131 – 139. SJR:0.212 {{Programmable Logic Soft microprocessors