Photomask
   HOME

TheInfoList



OR:

A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in
photolithography In integrated circuit manufacturing, photolithography or optical lithography is a general term used for techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protec ...
and the production of
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
s (ICs or "chips") in particular. Masks are used to produce a pattern on a substrate, normally a thin slice of
silicon Silicon is a chemical element with the symbol Si and atomic number 14. It is a hard, brittle crystalline solid with a blue-grey metallic luster, and is a tetravalent metalloid and semiconductor. It is a member of group 14 in the periodic ...
known as a wafer in the case of chip manufacturing. Several masks are used in turn, each one reproducing a layer of the completed design, and together they are known as a mask set. Previously, photomasks used to be produced manually by using rubylith and
mylar BoPET (biaxially-oriented polyethylene terephthalate) is a polyester film made from stretched polyethylene terephthalate (PET) and is used for its high tensile strength, chemical and dimensional stability, transparency, reflectivity, gas and a ...
. As complexity continued to grow, manual processing of any sort became difficult. This was solved with the introduction of the optical pattern generator which automated the process of producing the initial large-scale pattern, and the step-and-repeat cameras that automated the copying of the pattern into a multiple-IC mask. The intermediate masks are known as reticles, and were initially copied to production masks using the same photographic process. The initial stages produced by the generators have since been replaced by electron beam lithography and
laser A laser is a device that emits light through a process of optical amplification based on the stimulated emission of electromagnetic radiation. The word "laser" is an acronym for "light amplification by stimulated emission of radiation". The ...
-driven systems. In these systems there may be no reticle, the masks can be generated directly from the original computerized design. Mask materials have also changed over time. Initially, the rubylith was directly used as the mask. As feature size shrank the only way to properly focus the image was to place it in direct contact with the wafer. These contact aligners often lifted some of the photoresist off the wafer and the mask had to be discarded. This helped drive the adoption of reticles, which were used to produce thousands of masks. As the power of the lamps exposing the masks increased, film became subject to distortion due to heat, and was replaced by
silver halide A silver halide (or silver salt) is one of the chemical compounds that can form between the Chemical element, element silver (Ag) and one of the halogens. In particular, bromine (Br), chlorine (Cl), iodine (I) and fluorine (F) may each combine wi ...
on
soda glass Soda or SODA may refer to: Chemistry * Some chemical compounds containing sodium ** Sodium carbonate, washing soda or soda ash ** Sodium bicarbonate, baking soda ** Sodium hydroxide, caustic soda ** Sodium oxide, an alkali metal oxide * S ...
. This same process led to the use of
borosilicate Borosilicate glass is a type of glass with silica and boron trioxide as the main glass-forming constituents. Borosilicate glasses are known for having very low coefficients of thermal expansion (≈3 × 10−6 K−1 at 20 °C), ...
and then
quartz Quartz is a hard, crystalline mineral composed of silica ( silicon dioxide). The atoms are linked in a continuous framework of SiO4 silicon-oxygen tetrahedra, with each oxygen being shared between two tetrahedra, giving an overall chemical f ...
to control expansion, and from silver halide to
chromium Chromium is a chemical element with the symbol Cr and atomic number 24. It is the first element in group 6. It is a steely-grey, lustrous, hard, and brittle transition metal. Chromium metal is valued for its high corrosion resistance and hard ...
which has better opacity to the
ultraviolet light Ultraviolet (UV) is a form of electromagnetic radiation with wavelength from 10 nm (with a corresponding frequency around 30  PHz) to 400 nm (750  THz), shorter than that of visible light, but longer than X-rays. UV radiatio ...
used in the lithography process.


History

For IC production in the 1960s, through 70s, an opaq rubylith film laminated onto a transparent
mylar BoPET (biaxially-oriented polyethylene terephthalate) is a polyester film made from stretched polyethylene terephthalate (PET) and is used for its high tensile strength, chemical and dimensional stability, transparency, reflectivity, gas and a ...
was used to produce a master mask. The cutting machine (
plotter A plotter is a machine that produces vector graphics drawings. Plotters draw lines on paper using a pen, or in some applications, use a knife to cut a material like vinyl or leather. In the latter case, they are sometimes known as a cutting ...
) used to cut a stencil which was then peeled off. The patterned mylar itself was scaled down by use of photography from illuminated drafting table to produce a sub-master plate, which was further used in step-and-repeat process to project pattern onto a wafer. As feature sizes shrank and wafer sizes grew, multiple copies of the design would be patterned onto the mask, allowing a single print run to produce many ICs. Making a mask of this sort became increasingly difficult as the complexity of the designs increased. This was solved by cutting the rubylith pattern at much larger sizes, often filling the walls of a room, and then optically shrinking them onto
photographic film Photographic film is a strip or sheet of transparent film base coated on one side with a gelatin emulsion containing microscopically small light-sensitive silver halide crystals. The sizes and other characteristics of the crystals determine ...
and further onto a plate.


Overview

Lithographic photomasks are typically transparent
fused silica Fused quartz, fused silica or quartz glass is a glass consisting of almost pure silica (silicon dioxide, SiO2) in amorphous (non- crystalline) form. This differs from all other commercial glasses in which other ingredients are added which ch ...
plates covered with a pattern defined with a
chromium Chromium is a chemical element with the symbol Cr and atomic number 24. It is the first element in group 6. It is a steely-grey, lustrous, hard, and brittle transition metal. Chromium metal is valued for its high corrosion resistance and hard ...
(Cr) or Fe2O3 metal absorbing film. Photomasks are used at wavelengths of 365 nm, 248 nm, and 193 nm. Photomasks have also been developed for other forms of radiation such as 157 nm, 13.5 nm ( EUV),
X-ray An X-ray, or, much less commonly, X-radiation, is a penetrating form of high-energy electromagnetic radiation. Most X-rays have a wavelength ranging from 10 picometers to 10  nanometers, corresponding to frequencies in the range 30&nb ...
,
electrons The electron ( or ) is a subatomic particle with a negative one elementary electric charge. Electrons belong to the first generation of the lepton particle family, and are generally thought to be elementary particles because they have n ...
, and ions; but these require entirely new materials for the substrate and the pattern film. A set of photomasks, each defining a pattern layer in integrated circuit fabrication, is fed into a photolithography
stepper A stepper is a device used in the manufacture of integrated circuits (ICs) that is similar in operation to a slide projector or a photographic enlarger. ''Stepper'' is short for step-and-repeat camera. Steppers are an essential part of the comp ...
or scanner, and individually selected for exposure. In multi-patterning techniques, a photomask would correspond to a subset of the layer pattern. In photolithography for the
mass production Mass production, also known as flow production or continuous production, is the production of substantial amounts of standardized products in a constant flow, including and especially on assembly lines. Together with job production and ba ...
of
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
devices, the more correct term is usually photoreticle or simply reticle. In the case of a photomask, there is a one-to-one correspondence between the mask pattern and the wafer pattern. This was the standard for the 1:1 mask aligners that were succeeded by
stepper A stepper is a device used in the manufacture of integrated circuits (ICs) that is similar in operation to a slide projector or a photographic enlarger. ''Stepper'' is short for step-and-repeat camera. Steppers are an essential part of the comp ...
s and scanners with reduction optics. As used in steppers and scanners, the reticle commonly contains only one layer of the designed VLSI circuit. (However, some photolithography fabrications utilize reticles with more than one layer patterned onto the same mask). The pattern is projected and shrunk by four or five times onto the wafer surface. To achieve complete wafer coverage, the wafer is repeatedly " stepped" from position to position under the optical column until full exposure is achieved.
Features Feature may refer to: Computing * Feature (CAD), could be a hole, pocket, or notch * Feature (computer vision), could be an edge, corner or blob * Feature (software design) is an intentional distinguishing characteristic of a software ite ...
150 nm or below in size generally require phase-shifting to enhance the image quality to acceptable values. This can be achieved in many ways. The two most common methods are to use an attenuated phase-shifting background film on the mask to increase the contrast of small intensity peaks, or to etch the exposed
quartz Quartz is a hard, crystalline mineral composed of silica ( silicon dioxide). The atoms are linked in a continuous framework of SiO4 silicon-oxygen tetrahedra, with each oxygen being shared between two tetrahedra, giving an overall chemical f ...
so that the edge between the etched and unetched areas can be used to image nearly zero intensity. In the second case, unwanted edges would need to be trimmed out with another exposure. The former method is ''attenuated phase-shifting'', and is often considered a weak enhancement, requiring special illumination for the most enhancement, while the latter method is known as ''alternating-aperture phase-shifting'', and is the most popular strong enhancement technique. As leading-edge
semiconductor A semiconductor is a material which has an electrical conductivity value falling between that of a conductor, such as copper, and an insulator, such as glass. Its resistivity falls as its temperature rises; metals behave in the opposite way ...
features shrink, photomask features that are 4× larger must inevitably shrink as well. This could pose challenges since the absorber film will need to become thinner, and hence less opaque.Y. Sato et al., ''Proc. SPIE'', vol. 4889, pp. 50-58 (2002). A 2005 study by IMEC found that thinner absorbers degrade image contrast and therefore contribute to line-edge roughness, using state-of-the-art photolithography tools.M. Yoshizawa et al., ''Proc. SPIE'', vol. 5853, pp. 243-251 (2005) One possibility is to eliminate absorbers altogether and use "chromeless" masks, relying solely on phase-shifting for imaging. The emergence of
immersion lithography Immersion lithography is a photolithography resolution enhancement technique for manufacturing integrated circuits (ICs) that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive inde ...
has a strong impact on photomask requirements. The commonly used attenuated phase-shifting mask is more sensitive to the higher incidence angles applied in "hyper-NA" lithography, due to the longer optical path through the patterned film.C. A. Mack et al., ''Proc. SPIE'', vol. 5992, pp. 306-316 (2005) Photomasks are made by applying photoresist to a
quartz Quartz is a hard, crystalline mineral composed of silica ( silicon dioxide). The atoms are linked in a continuous framework of SiO4 silicon-oxygen tetrahedra, with each oxygen being shared between two tetrahedra, giving an overall chemical f ...
substrate with chrome plating on one side and exposing it using a
laser A laser is a device that emits light through a process of optical amplification based on the stimulated emission of electromagnetic radiation. The word "laser" is an acronym for "light amplification by stimulated emission of radiation". The ...
or an
electron beam Cathode rays or electron beam (e-beam) are streams of electrons observed in discharge tubes. If an evacuated glass tube is equipped with two electrodes and a voltage is applied, glass behind the positive electrode is observed to glow, due to el ...
in a process called maskless lithography. The photoresist is then developed and the unprotected areas with chrome are etched, and the remaining photoresist is removed resulting in stencil.


EUV lithography

In EUV lithography photomasks are more sophisticated compared to light-blocking ones. EUV masks are made out of reflective surfaces and light-blocking elements which produce necessary pattern upon exposure to ultraviolet radiation.


Mask error enhancement factor (MEEF)

Leading-edge photomasks (pre-corrected) images of the final chip patterns are magnified by four times. This magnification factor has been a key benefit in reducing pattern sensitivity to imaging errors. However, as features continue to shrink, two trends come into play: the first is that the mask error factor begins to exceed one, i.e., the dimension error on the wafer may be more than 1/4 the dimension error on the mask, and the second is that the mask feature is becoming smaller, and the dimension tolerance is approaching a few nanometers. For example, a 25 nm wafer pattern should correspond to a 100 nm mask pattern, but the wafer tolerance could be 1.25 nm (5% spec), which translates into 5 nm on the photomask. The variation of electron beam scattering in directly writing the photomask pattern can easily well exceed this.


Pellicles

The term "pellicle" is used to mean "film", "thin film", or "membrane." Beginning in the 1960s, thin film stretched on a metal frame, also known as a "pellicle", was used as a beam splitter for optical instruments. It has been used in a number of instruments to split a beam of light without causing an optical path shift due to its small film thickness. In 1978, Shea et al. at IBM patented a process to use the "pellicle" as a dust cover to protect a photomask or reticle. In the context of this entry, "pellicle" means "thin film dust cover to protect a photomask". Particle contamination can be a significant problem in semiconductor manufacturing. A photomask is protected from particles by a pelliclea thin transparent film stretched over a frame that is glued over one side of the photomask. The pellicle is far enough away from the mask patterns so that moderate-to-small sized particles that land on the pellicle will be too far out of focus to print. Although they are designed to keep particles away, pellicles become a part of the imaging system and their optical properties need to be taken into account. Pellicles material are Nitrocellulose and made for various Transmission Wavelengths.


Leading commercial photomask manufacturers

The SPIE Annual Conference, Photomask Technology reports the SEMATECH Mask Industry Assessment which includes current industry analysis and the results of their annual photomask manufacturers survey. The following companies are listed in order of their global market share (2009 info): *
Dai Nippon Printing , established in 1876, is a Japanese printing company. Dai Nippon operates its printing in three areas: information communications, lifestyle and industrial supplies, and electronics. The company is involved in a wide variety of printing processe ...
* Toppan Photomasks *
Photronics Inc Photronics, Inc is an American semiconductor photomask manufacturer. It was the third largest photomask supplier globally as of 2020. History Founded 1969 at Danbury, Connecticut as "Photronic Labs, Inc." The company has manufacturing facilities ...
* Hoya Corporation * Taiwan Mask Corporation * Compugraphics Major chipmakers such as
Intel Intel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California. It is the world's largest semiconductor chip manufacturer by revenue, and is one of the developers of the x86 ser ...
, Globalfoundries, IBM,
NEC is a Japanese multinational information technology and electronics corporation, headquartered in Minato, Tokyo. The company was known as the Nippon Electric Company, Limited, before rebranding in 1983 as NEC. It provides IT and network soluti ...
,
TSMC Taiwan Semiconductor Manufacturing Company Limited (TSMC; also called Taiwan Semiconductor) is a Taiwanese multinational semiconductor contract manufacturing and design company. It is the world's most valuable semiconductor company, the world' ...
, UMC,
Samsung The Samsung Group (or simply Samsung) ( ko, 삼성 ) is a South Korean multinational manufacturing conglomerate headquartered in Samsung Town, Seoul, South Korea. It comprises numerous affiliated businesses, most of them united under the ...
, and
Micron Technology Micron Technology, Inc. is an American producer of computer memory and computer data storage including dynamic random-access memory, flash memory, and USB flash drives. It is headquartered in Boise, Idaho. Its consumer products, includin ...
, have their own large maskmaking facilities or
joint venture A joint venture (JV) is a business entity created by two or more parties, generally characterized by shared ownership, shared returns and risks, and shared governance. Companies typically pursue joint ventures for one of four reasons: to acces ...
s with the abovementioned companies. The worldwide photomask market was estimated as $3.2 billion in 2012 and $3.1 billion in 2013. Almost half of the market was from captive mask shops (in-house mask shops of major chipmakers). The costs of creating new mask shop for 180 nm processes were estimated in 2005 as $40 million, and for 130 nm - more than $100 million. The purchase price of a photomask, in 2006, could range from $250 to $100,000 doi:10.1109/TSM.2006.883577; page 23 table 1 for a single high-end phase-shift mask. As many as 30 masks (of varying price) may be required to form a complete
mask set A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography and the production of integrated circuits (ICs or "chips") in particular. Masks are used ...
.


See also

* Integrated circuit layout design protection (or "Mask work") *
Mask inspection In microtechnology, mask inspection or photomask inspection is an operation of checking the correctness of the fabricated photomask A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern ...
*
SMIF interface SMIF (Standard Mechanical Interface) is an isolation technology developed in the 1980s by a group known as the "micronauts" at Hewlett-Packard in Palo Alto. The system is used in semiconductor wafer fabrication and cleanroom environments. It is ...
*
Nanochannel glass materials Nanochannel glass materials are an experimental mask technology that is an alternate method for fabricating nanostructures, although optical lithography is the predominant patterning technique. * Proceedings of the NATO Advanced Research Worksh ...
*
Stepping level In integrated circuits, the stepping level or revision level is a version number that refers to the introduction or revision of one or more photolithographic photomasks within the set of photomasks that is used to pattern an integrated circuit. ...


References

{{Authority control Lithography (microfabrication) Semiconductor fabrication equipment