Microelectromechanical system
   HOME

TheInfoList



OR:

Microelectromechanical systems (MEMS), also written as micro-electro-mechanical systems (or microelectronic and microelectromechanical systems) and the related micromechatronics and microsystems constitute the technology of microscopic devices, particularly those with moving parts. They merge at the nanoscale into nanoelectromechanical systems (NEMS) and
nanotechnology Nanotechnology, also shortened to nanotech, is the use of matter on an atomic, molecular, and supramolecular scale for industrial purposes. The earliest, widespread description of nanotechnology referred to the particular technological goal ...
. MEMS are also referred to as micromachines in Japan and microsystem technology (MST) in Europe. MEMS are made up of components between 1 and 100 micrometers in size (i.e., 0.001 to 0.1 mm), and MEMS devices generally range in size from 20 micrometres to a millimetre (i.e., 0.02 to 1.0 mm), although components arranged in arrays (e.g., digital micromirror devices) can be more than 1000 mm2. They usually consist of a central unit that processes data (an
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
chip such as
microprocessor A microprocessor is a computer processor where the data processing logic and control is included on a single integrated circuit, or a small number of integrated circuits. The microprocessor contains the arithmetic, logic, and control circ ...
) and several components that interact with the surroundings (such as microsensors). Because of the large surface area to volume ratio of MEMS, forces produced by ambient
electromagnetism In physics, electromagnetism is an interaction that occurs between particles with electric charge. It is the second-strongest of the four fundamental interactions, after the strong force, and it is the dominant force in the interactions o ...
(e.g., electrostatic charges and
magnetic moment In electromagnetism, the magnetic moment is the magnetic strength and orientation of a magnet or other object that produces a magnetic field. Examples of objects that have magnetic moments include loops of electric current (such as electroma ...
s), and fluid dynamics (e.g.,
surface tension Surface tension is the tendency of liquid surfaces at rest to shrink into the minimum surface area possible. Surface tension is what allows objects with a higher density than water such as razor blades and insects (e.g. water striders) t ...
and
viscosity The viscosity of a fluid is a measure of its resistance to deformation at a given rate. For liquids, it corresponds to the informal concept of "thickness": for example, syrup has a higher viscosity than water. Viscosity quantifies the int ...
) are more important design considerations than with larger scale mechanical devices. MEMS technology is distinguished from molecular nanotechnology or molecular electronics in that the latter two must also consider surface chemistry. The potential of very small machines was appreciated before the technology existed that could make them (see, for example, Richard Feynman's famous 1959 lecture There's Plenty of Room at the Bottom). MEMS became practical once they could be fabricated using modified
semiconductor device fabrication Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are ...
technologies, normally used to make
electronics The field of electronics is a branch of physics and electrical engineering that deals with the emission, behaviour and effects of electrons using electronic devices. Electronics uses active devices to control electron flow by amplification ...
. These include molding and plating, wet etching ( KOH, TMAH) and
dry etching Dry etching refers to the removal of material, typically a masked pattern of semiconductor material, by exposing the material to a bombardment of ions (usually a plasma of reactive gases such as fluorocarbons, oxygen, chlorine, boron trichlo ...
( RIE and DRIE),
electrical discharge machining Electrical discharge machining (EDM), also known as spark machining, spark eroding, die sinking, wire burning or wire erosion, is a metal fabrication process whereby a desired shape is obtained by using electrical discharges (sparks). Material i ...
(EDM), and other technologies capable of manufacturing small devices.


History

An early example of a MEMS device is the resonant-gate transistor, an adaptation of the MOSFET, developed by Harvey C. Nathanson in 1965. Another early example is the resonistor, an electromechanical monolithic
resonator A resonator is a device or system that exhibits resonance or resonant behavior. That is, it naturally oscillates with greater amplitude at some frequencies, called resonant frequencies, than at other frequencies. The oscillations in a resonator ...
patented by Raymond J. Wilfinger between 1966 and 1971. During the 1970s to early 1980s, a number of MOSFET microsensors were developed for measuring physical, chemical, biological and environmental parameters. The term "MEMS" was introduced in 1986.


Types

There are two basic types of MEMS switch technology: capacitive and ohmic. A capacitive MEMS switch is developed using a moving plate or sensing element, which changes the capacitance. Ohmic switches are controlled by electrostatically controlled cantilevers. Ohmic MEMS switches can fail from metal fatigue of the MEMS
actuator An actuator is a component of a machine that is responsible for moving and controlling a mechanism or system, for example by opening a valve. In simple terms, it is a "mover". An actuator requires a control device (controlled by control signal) a ...
(cantilever) and contact wear, since cantilevers can deform over time.


Materials for MEMS manufacturing

The fabrication of MEMS evolved from the process technology in
semiconductor device fabrication Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are ...
, i.e. the basic techniques are
deposition Deposition may refer to: * Deposition (law), taking testimony outside of court * Deposition (politics), the removal of a person of authority from political power * Deposition (university), a widespread initiation ritual for new students practiced f ...
of material layers, patterning by
photolithography In integrated circuit manufacturing, photolithography or optical lithography is a general term used for techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protec ...
and etching to produce the required shapes.


Silicon

Silicon is the material used to create most
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
s used in consumer electronics in the modern industry. The
economies of scale In microeconomics, economies of scale are the cost advantages that enterprises obtain due to their scale of operation, and are typically measured by the amount of output produced per unit of time. A decrease in cost per unit of output enables ...
, ready availability of inexpensive high-quality materials, and ability to incorporate electronic functionality make silicon attractive for a wide variety of MEMS applications. Silicon also has significant advantages engendered through its material properties. In single crystal form, silicon is an almost perfect
Hookean In physics, Hooke's law is an empirical law which states that the force () needed to extend or compress a spring by some distance () scales linearly with respect to that distance—that is, where is a constant factor characteristic of th ...
material, meaning that when it is flexed there is virtually no
hysteresis Hysteresis is the dependence of the state of a system on its history. For example, a magnet may have more than one possible magnetic moment in a given magnetic field, depending on how the field changed in the past. Plots of a single component of ...
and hence almost no energy dissipation. As well as making for highly repeatable motion, this also makes silicon very reliable as it suffers very little
fatigue Fatigue describes a state of tiredness that does not resolve with rest or sleep. In general usage, fatigue is synonymous with extreme tiredness or exhaustion that normally follows prolonged physical or mental activity. When it does not resolve ...
and can have service lifetimes in the range of billions to trillions of cycles without breaking. Semiconductor nanostructures based on silicon are gaining increasing importance in the field of microelectronics and MEMS in particular. Silicon nanowires, fabricated through the thermal oxidation of silicon, are of further interest in
electrochemical Electrochemistry is the branch of physical chemistry concerned with the relationship between electrical potential difference, as a measurable and quantitative phenomenon, and identifiable chemical change, with the potential difference as an outc ...
conversion and storage, including nanowire batteries and
photovoltaic Photovoltaics (PV) is the conversion of light into electricity using semiconducting materials that exhibit the photovoltaic effect, a phenomenon studied in physics, photochemistry, and electrochemistry. The photovoltaic effect is commercially ...
systems.


Polymers

Even though the electronics industry provides an economy of scale for the silicon industry, crystalline silicon is still a complex and relatively expensive material to produce. Polymers on the other hand can be produced in huge volumes, with a great variety of material characteristics. MEMS devices can be made from polymers by processes such as
injection molding Injection moulding (U.S. spelling: injection molding) is a manufacturing process for producing parts by injecting molten material into a mould, or mold. Injection moulding can be performed with a host of materials mainly including metals (for ...
, embossing or stereolithography and are especially well suited to microfluidic applications such as disposable blood testing cartridges.


Metals

Metals can also be used to create MEMS elements. While metals do not have some of the advantages displayed by silicon in terms of mechanical properties, when used within their limitations, metals can exhibit very high degrees of reliability. Metals can be deposited by electroplating, evaporation, and sputtering processes. Commonly used metals include gold, nickel, aluminium, copper, chromium, titanium, tungsten, platinum, and silver.


Ceramics

The
nitride In chemistry, a nitride is an inorganic compound of nitrogen. The "nitride" anion, N3- ion, is very elusive but compounds of nitride are numerous, although rarely naturally occuring. Some nitrides have a find applications, such as wear-resistant ...
s of silicon, aluminium and titanium as well as
silicon carbide Silicon carbide (SiC), also known as carborundum (), is a hard chemical compound containing silicon and carbon. A semiconductor, it occurs in nature as the extremely rare mineral moissanite, but has been mass-produced as a powder and crystal s ...
and other
ceramic A ceramic is any of the various hard, brittle, heat-resistant and corrosion-resistant materials made by shaping and then firing an inorganic, nonmetallic material, such as clay, at a high temperature. Common examples are earthenware, porcelain, ...
s are increasingly applied in MEMS fabrication due to advantageous combinations of material properties.
AlN Aluminium nitride ( Al N) is a solid nitride of aluminium. It has a high thermal conductivity of up to 321 W/(m·K) and is an electrical insulator. Its wurtzite phase (w-AlN) has a band gap of ~6 eV at room temperature and has a potenti ...
crystallizes in the
wurtzite structure In crystallography, the hexagonal crystal family is one of the six crystal families, which includes two crystal systems (hexagonal and trigonal) and two lattice systems (hexagonal and rhombohedral). While commonly confused, the trigonal crystal ...
and thus shows pyroelectric and
piezoelectric Piezoelectricity (, ) is the electric charge that accumulates in certain solid materials—such as crystals, certain ceramics, and biological matter such as bone, DNA, and various proteins—in response to applied mechanical stress. The word '' ...
properties enabling sensors, for instance, with sensitivity to normal and shear forces. TiN, on the other hand, exhibits a high
electrical conductivity Electrical resistivity (also called specific electrical resistance or volume resistivity) is a fundamental property of a material that measures how strongly it resists electric current. A low resistivity indicates a material that readily allows ...
and large
elastic modulus An elastic modulus (also known as modulus of elasticity) is the unit of measurement of an object's or substance's resistance to being deformed elastically (i.e., non-permanently) when a stress is applied to it. The elastic modulus of an object is ...
, making it possible to implement electrostatic MEMS actuation schemes with ultrathin beams. Moreover, the high resistance of TiN against biocorrosion qualifies the material for applications in biogenic environments. The figure shows an electron-microscopic picture of a MEMS biosensor with a 50 nm thin bendable TiN beam above a TiN ground plate. Both can be driven as opposite electrodes of a capacitor, since the beam is fixed in electrically isolating side walls. When a fluid is suspended in the cavity its viscosity may be derived from bending the beam by electrical attraction to the ground plate and measuring the bending velocity.


MEMS basic processes


Deposition processes

One of the basic building blocks in MEMS processing is the ability to deposit thin films of material with a thickness anywhere between one micrometre, to about 100 micrometres. The NEMS process is the same, although the measurement of film deposition ranges from a few nanometres to one micrometre. There are two types of deposition processes, as follows.


Physical deposition

Physical vapor deposition ("PVD") consists of a process in which a material is removed from a target, and deposited on a surface. Techniques to do this include the process of sputtering, in which an ion beam liberates atoms from a target, allowing them to move through the intervening space and deposit on the desired substrate, and
evaporation Evaporation is a type of vaporization that occurs on the surface of a liquid as it changes into the gas phase. High concentration of the evaporating substance in the surrounding gas significantly slows down evaporation, such as when h ...
, in which a material is evaporated from a target using either heat (thermal evaporation) or an electron beam (e-beam evaporation) in a vacuum system.


Chemical deposition

Chemical deposition techniques include
chemical vapor deposition Chemical vapor deposition (CVD) is a vacuum deposition method used to produce high quality, and high-performance, solid materials. The process is often used in the semiconductor industry to produce thin films. In typical CVD, the wafer (subst ...
(CVD), in which a stream of source gas reacts on the substrate to grow the material desired. This can be further divided into categories depending on the details of the technique, for example LPCVD (low-pressure chemical vapor deposition) and PECVD (
plasma-enhanced chemical vapor deposition Plasma-enhanced chemical vapor deposition (PECVD) is a chemical vapor deposition process used to deposit thin films from a gas state (vapor) to a solid state on a substrate. Chemical reactions are involved in the process, which occur after creati ...
). Oxide films can also be grown by the technique of thermal oxidation, in which the (typically silicon) wafer is exposed to oxygen and/or steam, to grow a thin surface layer of
silicon dioxide Silicon dioxide, also known as silica, is an oxide of silicon with the chemical formula , most commonly found in nature as quartz and in various living organisms. In many parts of the world, silica is the major constituent of sand. Silica is one ...
.


Patterning

Patterning in MEMS is the transfer of a pattern into a material.


Lithography

Lithography in MEMS context is typically the transfer of a pattern into a photosensitive material by selective exposure to a radiation source such as light. A photosensitive material is a material that experiences a change in its physical properties when exposed to a radiation source. If a photosensitive material is selectively exposed to radiation (e.g. by masking some of the radiation) the pattern of the radiation on the material is transferred to the material exposed, as the properties of the exposed and unexposed regions differs. This exposed region can then be removed or treated providing a mask for the underlying substrate.
Photolithography In integrated circuit manufacturing, photolithography or optical lithography is a general term used for techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protec ...
is typically used with metal or other thin film deposition, wet and dry etching. Sometimes, photolithography is used to create structure without any kind of post etching. One example is SU8 based lens where SU8 based square blocks are generated. Then the photoresist is melted to form a semi-sphere which acts as a lens.


Electron beam lithography

Electron beam lithography (often abbreviated as e-beam lithography) is the practice of scanning a beam of
electron The electron ( or ) is a subatomic particle with a negative one elementary electric charge. Electrons belong to the first generation of the lepton particle family, and are generally thought to be elementary particles because they have n ...
s in a patterned fashion across a surface covered with a film (called the resist), ("exposing" the resist) and of selectively removing either exposed or non-exposed regions of the resist ("developing"). The purpose, as with
photolithography In integrated circuit manufacturing, photolithography or optical lithography is a general term used for techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protec ...
, is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching. It was developed for manufacturing
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
s, and is also used for creating
nanotechnology Nanotechnology, also shortened to nanotech, is the use of matter on an atomic, molecular, and supramolecular scale for industrial purposes. The earliest, widespread description of nanotechnology referred to the particular technological goal ...
architectures. The primary advantage of electron beam lithography is that it is one of the ways to beat the
diffraction limit The resolution of an optical imaging system a microscope, telescope, or camera can be limited by factors such as imperfections in the lenses or misalignment. However, there is a principal limit to the resolution of any optical system, due to t ...
of light and make features in the
nanometer 330px, Different lengths as in respect to the molecular scale. The nanometre (international spelling as used by the International Bureau of Weights and Measures; SI symbol: nm) or nanometer (American and British English spelling differences#-re, ...
range. This form of maskless lithography has found wide usage in
photomask A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography and the production of integrated circuits (ICs or "chips") in particular. Masks are used ...
-making used in
photolithography In integrated circuit manufacturing, photolithography or optical lithography is a general term used for techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protec ...
, low-volume production of semiconductor components, and research & development. The key limitation of electron beam lithography is throughput, i.e., the very long time it takes to expose an entire silicon wafer or glass substrate. A long exposure time leaves the user vulnerable to beam drift or instability which may occur during the exposure. Also, the turn-around time for reworking or re-design is lengthened unnecessarily if the pattern is not being changed the second time.


Ion beam lithography

It is known that focused-
ion beam lithography Ion-beam lithography is the practice of scanning a focused beam of ions in a patterned fashion across a surface in order to create very small structures such as integrated circuits or other nanostructures. Details Ion-beam lithography has been f ...
has the capability of writing extremely fine lines (less than 50 nm line and space has been achieved) without proximity effect. However, because the writing field in ion-beam lithography is quite small, large area patterns must be created by stitching together the small fields.


Ion track technology

Ion track technology Ion tracks are damage-trails created by swift heavy ions penetrating through solids, which may be sufficiently-contiguous for chemical etching in a variety of crystalline, glassy, and/or polymeric solids. They are associated with cylindrical da ...
is a deep cutting tool with a resolution limit around 8 nm applicable to radiation resistant minerals, glasses and polymers. It is capable of generating holes in thin films without any development process. Structural depth can be defined either by ion range or by material thickness. Aspect ratios up to several 104 can be reached. The technique can shape and texture materials at a defined inclination angle. Random pattern, single-ion track structures and an aimed pattern consisting of individual single tracks can be generated.


X-ray lithography

X-ray lithography X-ray lithography is a process used in semiconductor device fabrication industry to selectively remove parts of a thin film of photoresist. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, o ...
is a process used in the electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist", on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist.


Diamond patterning

A simple way to carve or create patterns on the surface of nanodiamonds without damaging them could lead to a new generation of photonic devices. Diamond patterning is a method of forming diamond MEMS. It is achieved by the lithographic application of diamond films to a substrate such as silicon. The patterns can be formed by selective deposition through a silicon dioxide mask, or by deposition followed by micromachining or focused ion beam milling.


Etching processes

There are two basic categories of etching processes: wet etching and
dry etching Dry etching refers to the removal of material, typically a masked pattern of semiconductor material, by exposing the material to a bombardment of ions (usually a plasma of reactive gases such as fluorocarbons, oxygen, chlorine, boron trichlo ...
. In the former, the material is dissolved when immersed in a chemical solution. In the latter, the material is sputtered or dissolved using reactive ions or a vapor phase etchant.


Wet etching

Wet chemical etching consists in selective removal of material by dipping a substrate into a solution that dissolves it. The chemical nature of this etching process provides a good selectivity, which means the etching rate of the target material is considerably higher than the mask material if selected carefully. Wet etching can be performed using either isotropic wet etchants or anisotropic wet etchants. Isotropic wet etchant etch in all directions of the crystalline silicon at approximately equal rates. Anisotropic wet etchants preferably etch along certain crystal planes at faster rates than other planes, thereby allowing more complicated 3-D microstructures to be implemented. Wet anisotropic etchants are often used in conjunction with boron etch stops wherein the surface of the silicon is heavily doped with boron resulting in a silicon material layer that is resistant to the wet etchants. This has been used in MEWS pressure sensor manufacturing for example.


=Isotropic etching

= Etching progresses at the same speed in all directions. Long and narrow holes in a mask will produce v-shaped grooves in the silicon. The surface of these grooves can be atomically smooth if the etch is carried out correctly, with dimensions and angles being extremely accurate.


=Anisotropic etching

= Some single crystal materials, such as silicon, will have different etching rates depending on the crystallographic orientation of the substrate. This is known as anisotropic etching and one of the most common examples is the etching of silicon in KOH (potassium hydroxide), where Si <111> planes etch approximately 100 times slower than other planes ( crystallographic orientations). Therefore, etching a rectangular hole in a (100)-Si wafer results in a pyramid shaped etch pit with 54.7° walls, instead of a hole with curved sidewalls as with isotropic etching.


=HF etching

=
Hydrofluoric acid Hydrofluoric acid is a solution of hydrogen fluoride (HF) in water. Solutions of HF are colourless, acidic and highly corrosive. It is used to make most fluorine-containing compounds; examples include the commonly used pharmaceutical antidepres ...
is commonly used as an aqueous etchant for silicon dioxide (, also known as BOX for SOI), usually in 49% concentrated form, 5:1, 10:1 or 20:1 BOE ( buffered oxide etchant) or BHF (Buffered HF). They were first used in medieval times for glass etching. It was used in IC fabrication for patterning the gate oxide until the process step was replaced by RIE. Hydrofluoric acid is considered one of the more dangerous acids in the cleanroom. It penetrates the skin upon contact and it diffuses straight to the bone. Therefore, the damage is not felt until it is too late.


=Electrochemical etching

= Electrochemical etching (ECE) for dopant-selective removal of silicon is a common method to automate and to selectively control etching. An active p-n
diode A diode is a two-terminal electronic component that conducts current primarily in one direction (asymmetric conductance); it has low (ideally zero) resistance in one direction, and high (ideally infinite) resistance in the other. A diod ...
junction is required, and either type of dopant can be the etch-resistant ("etch-stop") material. Boron is the most common etch-stop dopant. In combination with wet anisotropic etching as described above, ECE has been used successfully for controlling silicon diaphragm thickness in commercial piezoresistive silicon pressure sensors. Selectively doped regions can be created either by implantation, diffusion, or epitaxial deposition of silicon.


Dry etching


=Vapor etching

=


Xenon difluoride

Xenon difluoride Xenon difluoride is a powerful fluorinating agent with the chemical formula , and one of the most stable xenon compounds. Like most covalent inorganic fluorides it is moisture-sensitive. It decomposes on contact with water vapor, but is otherwis ...
() is a dry vapor phase isotropic etch for silicon originally applied for MEMS in 1995 at University of California, Los Angeles. Primarily used for releasing metal and dielectric structures by undercutting silicon, has the advantage of a stiction-free release unlike wet etchants. Its etch selectivity to silicon is very high, allowing it to work with photoresist, , silicon nitride, and various metals for masking. Its reaction to silicon is "plasmaless", is purely chemical and spontaneous and is often operated in pulsed mode. Models of the etching action are available, and university laboratories and various commercial tools offer solutions using this approach.


=Plasma etching

= Modern VLSI processes avoid wet etching, and use
plasma etching Plasma etching is a form of plasma processing used to fabricate integrated circuits. It involves a high-speed stream of glow discharge ( plasma) of an appropriate gas mixture being shot (in pulses) at a sample. The plasma source, known as etch spec ...
instead. Plasma etchers can operate in several modes by adjusting the parameters of the plasma. Ordinary plasma etching operates between 0.1 and 5 Torr. (This unit of pressure, commonly used in vacuum engineering, equals approximately 133.3 pascals.) The plasma produces energetic free radicals, neutrally charged, that react at the surface of the wafer. Since neutral particles attack the wafer from all angles, this process is isotropic. Plasma etching can be isotropic, i.e., exhibiting a lateral undercut rate on a patterned surface approximately the same as its downward etch rate, or can be anisotropic, i.e., exhibiting a smaller lateral undercut rate than its downward etch rate. Such anisotropy is maximized in deep reactive ion etching. The use of the term anisotropy for plasma etching should not be conflated with the use of the same term when referring to orientation-dependent etching. The source gas for the plasma usually contains small molecules rich in chlorine or fluorine. For instance, carbon tetrachloride () etches silicon and aluminium, and trifluoromethane etches silicon dioxide and silicon nitride. A plasma containing oxygen is used to oxidize ("ash") photoresist and facilitate its removal. Ion milling, or sputter etching, uses lower pressures, often as low as 10−4 Torr (10 mPa). It bombards the wafer with energetic ions of noble gases, often Ar+, which knock atoms from the substrate by transferring momentum. Because the etching is performed by ions, which approach the wafer approximately from one direction, this process is highly anisotropic. On the other hand, it tends to display poor selectivity. Reactive-ion etching (RIE) operates under conditions intermediate between sputter and plasma etching (between 10–3 and 10−1 Torr). Deep reactive-ion etching (DRIE) modifies the RIE technique to produce deep, narrow features.


Sputtering


Reactive ion etching (RIE)

In reactive-ion etching (RIE), the substrate is placed inside a reactor, and several gases are introduced. A plasma is struck in the gas mixture using an RF power source, which breaks the gas molecules into ions. The ions accelerate towards, and react with, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part, which is similar to the sputtering deposition process. If the ions have high enough energy, they can knock atoms out of the material to be etched without a chemical reaction. It is a very complex task to develop dry etch processes that balance chemical and physical etching, since there are many parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching, since the chemical part is isotropic and the physical part highly anisotropic the combination can form sidewalls that have shapes from rounded to vertical. Deep RIE (DRIE) is a special subclass of RIE that is growing in popularity. In this process, etch depths of hundreds of micrometers are achieved with almost vertical sidewalls. The primary technology is based on the so-called "Bosch process", named after the German company Robert Bosch, which filed the original patent, where two different gas compositions alternate in the reactor. Currently, there are two variations of the DRIE. The first variation consists of three distinct steps (the original Bosch process) while the second variation only consists of two steps. In the first variation, the etch cycle is as follows: (i) isotropic etch;
(ii) passivation;
(iii) anisotropic etch for floor cleaning. In the 2nd variation, steps (i) and (iii) are combined. Both variations operate similarly. The creates a polymer on the surface of the substrate, and the second gas composition ( and ) etches the substrate. The polymer is immediately sputtered away by the physical part of the etching, but only on the horizontal surfaces and not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it builds up on the sidewalls and protects them from etching. As a result, etching aspect ratios of 50 to 1 can be achieved. The process can easily be used to etch completely through a silicon substrate, and etch rates are 3–6 times higher than wet etching.


Die preparation

After preparing a large number of MEMS devices on a silicon wafer, individual
dies Dies may refer to: * Dies (deity), the Roman counterpart of the Greek goddess Hemera, the personification of day, daughter of Nox (Night) and Erebus (Darkness). * Albert Christoph Dies (1755–1822), German painter, composer, and biographer * Jos ...
have to be separated, which is called
die preparation Die preparation is a step of semiconductor device fabrication during which a wafer is prepared for IC packaging and IC testing. The process of die preparation typically consists of two steps: wafer mounting and wafer dicing. Wafer mounting Wa ...
in semiconductor technology. For some applications, the separation is preceded by wafer backgrinding in order to reduce the wafer thickness.
Wafer dicing In the context of manufacturing integrated circuits, wafer dicing is the process by which die are separated from a wafer of semiconductor following the processing of the wafer. The dicing process can involve scribing and breaking, mechanical sa ...
may then be performed either by sawing using a cooling liquid or a dry laser process called stealth dicing.


MEMS manufacturing technologies


Bulk micromachining

Bulk micromachining is the oldest paradigm of silicon-based MEMS. The whole thickness of a silicon wafer is used for building the micro-mechanical structures. Silicon is machined using various etching processes. Bulk micromachining has been essential in enabling high performance
pressure sensor A pressure sensor is a device for pressure measurement of gases or liquids. Pressure is an expression of the force required to stop a fluid from expanding, and is usually stated in terms of force per unit area. A pressure sensor usually ...
s and
accelerometer An accelerometer is a tool that measures proper acceleration. Proper acceleration is the acceleration (the rate of change of velocity) of a body in its own instantaneous rest frame; this is different from coordinate acceleration, which is acce ...
s that changed the sensor industry in the 1980s and 90's.


Surface micromachining

Surface micromachining uses layers deposited on the surface of a substrate as the structural materials, rather than using the substrate itself. Surface micromachining was created in the late 1980s to render micromachining of silicon more compatible with planar integrated circuit technology, with the goal of combining MEMS and
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
s on the same silicon wafer. The original surface micromachining concept was based on thin polycrystalline silicon layers patterned as movable mechanical structures and released by sacrificial etching of the underlying oxide layer. Interdigital comb electrodes were used to produce in-plane forces and to detect in-plane movement capacitively. This MEMS paradigm has enabled the manufacturing of low cost
accelerometer An accelerometer is a tool that measures proper acceleration. Proper acceleration is the acceleration (the rate of change of velocity) of a body in its own instantaneous rest frame; this is different from coordinate acceleration, which is acce ...
s for e.g. automotive air-bag systems and other applications where low performance and/or high g-ranges are sufficient.
Analog Devices Analog Devices, Inc. (ADI), also known simply as Analog, is an American multinational semiconductor company specializing in data conversion, signal processing and power management technology, headquartered in Wilmington, Massachusetts. The ...
has pioneered the industrialization of surface micromachining and has realized the co-integration of MEMS and integrated circuits.


Wafer Bonding

Wafer bonding involves joining two or more substrates (usually having the same diameter) to one another to form a composite structure. There are several types of wafer bonding processes that are used in microsystems fabrication including: direct or fusion wafer bonding, wherein two or more wafers are bonded together that are usually made of silicon or some other semiconductor material; anodic bonding wherein a boron-doped glass wafer is bonded to a semiconductor wafer, usually silicon; thermocompression bonding, wherein an intermediary thin-film material layer is used to facilitate wafer bonding; and eutectic bonding, wherein a thin-film layer of gold is used to bond two silicon wafers. Each of these methods have specific uses depending on the circumstances. Most wafer bonding processes rely on three basic criteria for successfully bonding: the wafers to be bonded are sufficiently flat; the wafer surfaces are sufficiently smooth; and the wafer surfaces are sufficiently clean. The most stringent criteria for wafer bonding is usually the direct fusion wafer bonding since even one or more small particulates can render the bonding unsuccessful. In comparison, wafer bonding methods that use intermediary layers are often far more forgiving.


High aspect ratio (HAR) silicon micromachining

Both bulk and surface silicon micromachining are used in the industrial production of sensors, ink-jet nozzles, and other devices. But in many cases the distinction between these two has diminished. A new etching technology,
deep reactive-ion etching Deep reactive-ion etching (DRIE) is a highly anisotropic etch process used to create deep penetration, steep-sided holes and trenches in wafers/substrates, typically with high aspect ratios. It was developed for microelectromechanical systems ( ...
, has made it possible to combine good performance typical of
bulk micromachining Bulk micromachining is a process used to produce micromachinery or microelectromechanical systems (MEMS). Unlike surface micromachining, which uses a succession of thin film deposition and selective etching, bulk micromachining defines structures ...
with comb structures and in-plane operation typical of surface micromachining. While it is common in surface micromachining to have structural layer thickness in the range of 2 µm, in HAR silicon micromachining the thickness can be from 10 to 100 µm. The materials commonly used in HAR silicon micromachining are thick polycrystalline silicon, known as epi-poly, and bonded silicon-on-insulator (SOI) wafers although processes for bulk silicon wafer also have been created (SCREAM). Bonding a second wafer by glass frit bonding, anodic bonding or alloy bonding is used to protect the MEMS structures. Integrated circuits are typically not combined with HAR silicon micromachining.


Applications

Some common commercial applications of MEMS include: * Inkjet printers, which use
piezoelectric Piezoelectricity (, ) is the electric charge that accumulates in certain solid materials—such as crystals, certain ceramics, and biological matter such as bone, DNA, and various proteins—in response to applied mechanical stress. The word '' ...
s or thermal bubble ejection to deposit ink on paper. *
Accelerometer An accelerometer is a tool that measures proper acceleration. Proper acceleration is the acceleration (the rate of change of velocity) of a body in its own instantaneous rest frame; this is different from coordinate acceleration, which is acce ...
s in modern cars for a large number of purposes including
airbag An airbag is a vehicle occupant-restraint system using a bag designed to inflate extremely quickly, then quickly deflate during a collision. It consists of the airbag cushion, a flexible fabric bag, an inflation module, and an impact sensor. T ...
deployment and
electronic stability control Electronic stability control (ESC), also referred to as electronic stability program (ESP) or dynamic stability control (DSC), is a computerized technology that improves a vehicle's stability by detecting and reducing loss of traction ( skiddi ...
. *
Inertial measurement unit An inertial measurement unit (IMU) is an electronic device that measures and reports a body's specific force, angular rate, and sometimes the orientation of the body, using a combination of accelerometers, gyroscopes, and sometimes magnetomet ...
s (IMUs): **MEMS
accelerometer An accelerometer is a tool that measures proper acceleration. Proper acceleration is the acceleration (the rate of change of velocity) of a body in its own instantaneous rest frame; this is different from coordinate acceleration, which is acce ...
s **
MEMS gyroscope A vibrating structure gyroscope, defined by the IEEE as a Coriolis vibratory gyroscope (CVG), is a gyroscope that uses a vibrating structure to determine the rate of rotation. A vibrating structure gyroscope functions much like the halteres of fl ...
s in remote controlled, or autonomous, helicopters, planes and multirotors (also known as drones), used for automatically sensing and balancing flying characteristics of roll, pitch and yaw. ** MEMS magnetic field sensor (
magnetometer A magnetometer is a device that measures magnetic field or magnetic dipole moment. Different types of magnetometers measure the direction, strength, or relative change of a magnetic field at a particular location. A compass is one such device, ...
) may also be incorporated in such devices to provide directional heading. **MEMS
inertial navigation system An inertial navigation system (INS) is a navigation device that uses motion sensors ( accelerometers), rotation sensors ( gyroscopes) and a computer to continuously calculate by dead reckoning the position, the orientation, and the velocity ...
s (INSs) of modern cars, airplanes, submarines and other vehicles to detect yaw, pitch, and roll; for example, the autopilot of an airplane. *Accelerometers in consumer electronics devices such as game controllers (Nintendo
Wii The Wii ( ) is a home video game console developed and marketed by Nintendo. It was released on November 19, 2006, in North America and in December 2006 for most other regions of the world. It is Nintendo's fifth major home game console, ...
), personal media players / cell phones (virtually all smartphones, various HTC PDA models) and a number of digital cameras (various
Canon Digital IXUS The Digital IXUS (IXY Digital in Japan and PowerShot Digital ELPH in US and Canada) is a series of digital cameras released by Canon. It is a line of ultracompact cameras, originally based on the design of Canon's IXUS/IXY/ELPH line of APS ca ...
models). Also used in PCs to park the hard disk head when free-fall is detected, to prevent damage and data loss. * MEMS barometers *MEMS microphones in portable devices, e.g., mobile phones, head sets and laptops. The market for smart microphones includes smartphones, wearable devices, smart home and automotive applications. *Precision temperature-compensated resonators in real-time clocks. *Silicon
pressure sensor A pressure sensor is a device for pressure measurement of gases or liquids. Pressure is an expression of the force required to stop a fluid from expanding, and is usually stated in terms of force per unit area. A pressure sensor usually ...
s e.g., car
tire A tire (American English) or tyre (British English) is a ring-shaped component that surrounds a Rim (wheel), wheel's rim to transfer a vehicle's load from the axle through the wheel to the ground and to provide Traction (engineering), t ...
pressure
sensor A sensor is a device that produces an output signal for the purpose of sensing a physical phenomenon. In the broadest definition, a sensor is a device, module, machine, or subsystem that detects events or changes in its environment and sends ...
s, and disposable
blood pressure Blood pressure (BP) is the pressure of circulating blood against the walls of blood vessels. Most of this pressure results from the heart pumping blood through the circulatory system. When used without qualification, the term "blood pressure ...
sensor A sensor is a device that produces an output signal for the purpose of sensing a physical phenomenon. In the broadest definition, a sensor is a device, module, machine, or subsystem that detects events or changes in its environment and sends ...
s * Displays e.g., the digital micromirror device (DMD) chip in a projector based on DLP technology, which has a surface with several hundred thousand micromirrors or single micro-scanning-mirrors also called microscanners * Optical switching technology, which is used for switching technology and alignment for data communications * Bio-MEMS applications in medical and health related technologies including lab-on-a-chip,
biosensors A biosensor is an analytical device, used for the detection of a chemical substance, that combines a biological component with a physicochemical detector. The ''sensitive biological element'', e.g. tissue, microorganisms, organelles, cell rece ...
, chemosensors as well as embedded components of medical devices e.g. stents. *
Interferometric modulator display Interferometric modulator display (IMOD, trademarked mirasol) is a technology used in electronic visual displays that can create various colors via interference of reflected light. The color is selected with an electrically switched light modulator ...
(IMOD) applications in consumer electronics (primarily displays for mobile devices), used to create interferometric modulation − reflective display technology as found in mirasol displays *Fluid acceleration, such as for micro-cooling *Micro-scale energy harvesting including piezoelectric, electrostatic and electromagnetic micro harvesters. *Micromachined ultrasound transducers. *MEMS-based loudspeakers focusing on applications such as in-ear headphones and hearing aids * MEMS oscillators * MEMS-based scanning probe microscopes including atomic force microscopes


Industry structure

The global market for micro-electromechanical systems, which includes products such as automobile airbag systems, display systems and inkjet cartridges totaled $40 billion in 2006 according to Global MEMS/Microsystems Markets and Opportunities, a research report from
SEMI SEMI is an industry association comprising companies involved in the electronics design and manufacturing supply chain. They provide equipment, materials and services for the manufacture of semiconductors, photovoltaic panels, LED and flat panel ...
and Yole Development and is forecasted to reach $72 billion by 2011. Companies with strong MEMS programs come in many sizes. Larger firms specialize in manufacturing high volume inexpensive components or packaged solutions for end markets such as automobiles, biomedical, and electronics. Smaller firms provide value in innovative solutions and absorb the expense of custom fabrication with high sales margins. Both large and small companies typically invest in R&D to explore new MEMS technology. The market for materials and equipment used to manufacture MEMS devices topped $1 billion worldwide in 2006. Materials demand is driven by substrates, making up over 70 percent of the market, packaging coatings and increasing use of chemical mechanical planarization (CMP). While MEMS manufacturing continues to be dominated by used semiconductor equipment, there is a migration to 200 mm lines and select new tools, including etch and bonding for certain MEMS applications.


See also

*
Cantilever A cantilever is a rigid structural element that extends horizontally and is supported at only one end. Typically it extends from a flat vertical surface such as a wall, to which it must be firmly attached. Like other structural elements, a cant ...
- one of the most common forms of MEMS *
Electrostatic motor An electrostatic motor or capacitor motor is a type of electric motor based on the attraction and repulsion of electric charge. An alternative type of electrostatic motor is the spacecraft electrostatic ion drive thruster where forces and motion ...
s used where coils are difficult to fabricate * Electromechanical modeling *
Kelvin probe force microscope Kelvin probe force microscopy (KPFM), also known as surface potential microscopy, is a noncontact variant of atomic force microscopy (AFM). By raster scanning in the x,y plane the work function of the sample can be locally mapped for correlation ...
* MEMS sensor generations * MEMS thermal actuator, MEMS actuation created by thermal expansion *
Microoptoelectromechanical systems Microoptoelectromechanical systems (MOEMS), also known as optical MEMS, are integrations of mechanical, optical, and electrical systems that involve sensing or manipulating optical signals at a very small size. MOEMS includes a wide variety of dev ...
(MOEMS), MEMS including optical elements *
Microoptomechanical systems Microoptomechanical systems (MOMS), also written as micro-optomechanical systems, are a special class of microelectromechanical systems (MEMS) which use optical and mechanical, but not electronic components. See also *Microoptoelectromechanical ...
(MOMS), a class of MEMS which use optical and mechanical, but not electronic components * Neural dust - millimeter-sized devices operated as wirelessly powered nerve sensors * Photoelectrowetting, MEMS optical actuation using photo-sensitive wetting *
Micropower Micropower describes the use of very small electric generators and prime movers or devices to convert heat or motion to electricity, for use close to the generator. The generator is typically integrated with microelectronic devices and produces "s ...
, hydrogen generators, gas turbines, and electrical generators made of etched silicon * Millipede memory, a MEMS technology for non-volatile data storage of more than a terabit per square inch * Nanoelectromechanical systems are similar to MEMS but smaller * Scratch drive actuator, MEMS actuation using repeatedly applied voltage differences


References


Further reading


Journal of Micro and Nanotechnique
*''
Microsystem Technologies ''Microsystem Technologies'' is a peer-reviewed scientific journal published by Springer Science+Business Media. It covers research on electromechanical, materials, design, and manufacturing aspects of microsystems and their components. The ed ...
'', published by
Springer Publishing Springer Publishing Company is an American publishing company of academic journals and books, focusing on the fields of nursing, gerontology, psychology, social work, counseling, public health, and rehabilitation (neuropsychology). It was e ...

Journal homepage
*


External links

* {{DEFAULTSORT:Microelectromechanical Systems Transducers Mechanical engineering Electrical engineering Microtechnology Microelectronic and microelectromechanical systems Articles containing video clips