rapid thermal anneal
   HOME

TheInfoList



OR:

Rapid thermal processing (RTP) is a
semiconductor manufacturing process Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are pr ...
which heats
silicon wafer In electronics, a wafer (also called a slice or substrate) is a thin slice of semiconductor, such as a crystalline silicon (c-Si), used for the fabrication of integrated circuits and, in photovoltaics, to manufacture solar cells. The wafer serv ...
s to temperatures exceeding 1,000°C for not more than a few seconds. During cooling wafer temperatures must be brought down slowly to prevent dislocations and wafer breakage due to thermal shock. Such rapid heating rates are often attained by high intensity lamps or lasers. These processes are used for a wide variety of applications in
semiconductor A semiconductor is a material which has an electrical resistivity and conductivity, electrical conductivity value falling between that of a electrical conductor, conductor, such as copper, and an insulator (electricity), insulator, such as glas ...
manufacturing including
dopant activation Dopant Activation is the process of obtaining the desired electronic contribution from impurity species in a semiconductor host. The term is often restricted to the application of thermal energy following the ion implantation of dopants. In the mo ...
,
thermal oxidation In microfabrication, thermal oxidation is a way to produce a thin layer of oxide (usually silicon dioxide) on the surface of a wafer. The technique forces an oxidizing agent to diffuse into the wafer at high temperature and react with it. The rat ...
, metal reflow and chemical vapor deposition.


Temperature control

One of the key challenges in rapid thermal processing is accurate measurement and control of the wafer temperature. Monitoring the ambient with a thermocouple has only recently become feasible, in that the high temperature ramp rates prevent the wafer from coming to
thermal equilibrium Two physical systems are in thermal equilibrium if there is no net flow of thermal energy between them when they are connected by a path permeable to heat. Thermal equilibrium obeys the zeroth law of thermodynamics. A system is said to be in ...
with the process chamber. One temperature control strategy involves ''in situ''
pyrometry A pyrometer is a type of remote-sensing thermometer used to measure the temperature of distant objects. Various forms of pyrometers have historically existed. In the modern usage, it is a device that from a distance determines the temperature of ...
to effect real time control. Used for melting iron for welding purposes.


Rapid thermal anneal

Rapid thermal anneal (RTA) in rapid thermal processing is a process used in
semiconductor device fabrication Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are pres ...
which involves heating a single
wafer A wafer is a crisp, often sweet, very thin, flat, light and dry biscuit, often used to decorate ice cream, and also used as a garnish on some sweet dishes. Wafers can also be made into cookies with cream flavoring sandwiched between them. They ...
at a time in order to affect its electrical properties. Unique heat treatments are designed for different effects. Wafers can be heated in order to activate
dopant A dopant, also called a doping agent, is a trace of impurity element that is introduced into a chemical material to alter its original electrical or optical properties. The amount of dopant necessary to cause changes is typically very low. When ...
s, change film-to-film or film-to-wafer substrate interfaces, densify deposited films, change states of grown films, repair damage from
ion implantation Ion implantation is a low-temperature process by which ions of one element are accelerated into a solid target, thereby changing the physical, chemical, or electrical properties of the target. Ion implantation is used in semiconductor device fab ...
, move dopants or drive dopants from one film into another or from a film into the wafer substrate. Rapid thermal anneals are performed by equipment that heats a single wafer at a time using either lamp based heating, a hot chuck, or a hot plate that a wafer is brought near. Unlike
furnace anneal Furnace annealing is a process used in semiconductor device fabrication which consist of heating multiple semiconductor wafers in order to affect their electrical properties. Heat treatments are designed for different effects. Wafers can be heated ...
s they are of short duration, processing each wafer in several minutes. To achieve short annealing times and quick throughput, sacrifices are made in temperature and process uniformity, temperature measurement and control, and wafer stress. RTP-like processing has found applications in another rapidly growing field: solar cell fabrication. RTP-like processing, in which the semiconductor sample is heated by absorbing optical radiation, has come to be used for many solar cell fabrication steps, including phosphorus diffusion for N/P junction formation and impurity gettering, hydrogen diffusion for impurity and defect passivation, and formation of screen-printed contacts using Ag-ink for the front and Al-ink for back contacts, respectively.


See also

* Tamman and Hüttig temperature


References

{{Reflist


External links


IEEE RTP Conference Proceedings



Different Heating Systems with Microwaves/Plasma
Semiconductor device fabrication