HOME

TheInfoList



OR:

The history of
photography Photography is the art, application, and practice of creating durable images by recording light, either electronically by means of an image sensor, or chemically by means of a light-sensitive material such as photographic film. It is emplo ...
began in remote antiquity with the discovery of two critical principles:
camera obscura A camera obscura (; ) is a darkened room with a small hole or lens at one side through which an image is projected onto a wall or table opposite the hole. ''Camera obscura'' can also refer to analogous constructions such as a box or tent in w ...
image projection and the observation that some substances are visibly altered by exposure to light. There are no artifacts or descriptions that indicate any attempt to capture images with light sensitive materials prior to the 18th century. Around 1717,
Johann Heinrich Schulze Johann Heinrich Schulze (12 May 1687 – 10 October 1744) was a German professor and polymath. History Schulze studied medicine, chemistry, philosophy and theology and became a professor in Altdorf and Halle for anatomy and several other s ...
captured cut-out letters on a bottle of a light-sensitive slurry, but he apparently never thought of making the results durable. Around 1800, Thomas Wedgwood made the first reliably documented, although unsuccessful attempt at capturing camera images in permanent form. His experiments did produce detailed
photogram A photogram is a photographic image made without a camera by placing objects directly onto the surface of a light-sensitive material such as photographic paper and then exposing it to light. The usual result is a negative shadow image th ...
s, but Wedgwood and his associate
Humphry Davy Sir Humphry Davy, 1st Baronet, (17 December 177829 May 1829) was a British chemist and inventor who invented the Davy lamp and a very early form of arc lamp. He is also remembered for isolating, by using electricity, several elements for ...
found no way to fix these images. In 1826,
Nicéphore Niépce Joseph Nicéphore Niépce (; 7 March 1765 – 5 July 1833), commonly known or referred to simply as Nicéphore Niépce, was a French inventor, usually credited with the invention of photography. Niépce developed heliography, a technique he us ...
first managed to fix an image that was captured with a camera, but at least eight hours or even several days of exposure in the camera were required and the earliest results were very crude. Niépce's associate
Louis Daguerre Louis-Jacques-Mandé Daguerre ( , ; 18 November 1787 – 10 July 1851) was a French artist and photographer, recognized for his invention of the eponymous daguerreotype process of photography. He became known as one of the fathers of photog ...
went on to develop the
daguerreotype Daguerreotype (; french: daguerréotype) was the first publicly available photographic process; it was widely used during the 1840s and 1850s. "Daguerreotype" also refers to an image created through this process. Invented by Louis Daguerre a ...
process, the first publicly announced and commercially viable photographic process. The daguerreotype required only minutes of exposure in the camera, and produced clear, finely detailed results. The details were introduced to the world in 1839, a date generally accepted as the birth year of practical photography. The metal-based daguerreotype process soon had some competition from the paper-based
calotype Calotype or talbotype is an early photographic process introduced in 1841 by William Henry Fox Talbot, using paper coated with silver iodide. Paper texture effects in calotype photography limit the ability of this early process to record low ...
negative and salt print processes invented by
William Henry Fox Talbot William Henry Fox Talbot FRS FRSE Royal Astronomical Society, FRAS (; 11 February 180017 September 1877) was an English scientist, inventor, and photography pioneer who invented the Salt print, salted paper and calotype processes, precursors t ...
and demonstrated in 1839 soon after news about the daguerreotype reached Talbot. Subsequent innovations made photography easier and more versatile. New materials reduced the required camera exposure time from minutes to seconds, and eventually to a small fraction of a second; new photographic media were more economical, sensitive or convenient. Since the 1850s, the
collodion process The collodion process is an early photographic process. The collodion process, mostly synonymous with the "collodion wet plate process", requires the photographic material to be coated, sensitized, exposed, and developed within the span of about ...
with its glass-based
photographic plate Photographic plates preceded photographic film as a capture medium in photography, and were still used in some communities up until the late 20th century. The light-sensitive emulsion of silver salts was coated on a glass plate, typically thinn ...
s combined the high quality known from the Daguerreotype with the multiple print options known from the calotype and was commonly used for decades.
Roll film Roll film or rollfilm is any type of spool-wound photographic film protected from white light exposure by a paper backing. The term originated in contrast to sheet film. Confusingly, roll film was originally often referred to as "cartridge" film be ...
s popularized casual use by amateurs. In the mid-20th century, developments made it possible for amateurs to take pictures in
natural color Natural color was a term used in the beginning of film and later on in the 1920s, and early 1930s as a color film process that actually filmed color images, rather than a color tinted or colorized movie. The first natural color processes were in t ...
as well as in
black-and-white Black-and-white (B&W or B/W) images combine black and white in a continuous spectrum, producing a range of shades of grey. Media The history of various visual media began with black and white, and as technology improved, altered to color. ...
. The commercial introduction of computer-based electronic digital cameras in the 1990s soon revolutionized photography. During the first decade of the 21st century, traditional film-based photochemical methods were increasingly marginalized as the practical advantages of the new technology became widely appreciated and the image quality of moderately priced digital cameras was continually improved. Especially since cameras became a standard feature on smartphones, taking pictures (and instantly publishing them online) has become a ubiquitous everyday practice around the world.


Etymology

The coining of the word "photography" is usually attributed to
Sir John Herschel Sir John Frederick William Herschel, 1st Baronet (; 7 March 1792 – 11 May 1871) was an English polymath active as a mathematician, astronomer, chemist, inventor, experimental photographer who invented the blueprint and did botanical wor ...
in 1839. It is based on the
Greek Greek may refer to: Greece Anything of, from, or related to Greece, a country in Southern Europe: *Greeks, an ethnic group. *Greek language, a branch of the Indo-European language family. **Proto-Greek language, the assumed last common ancestor ...
''φῶς'' (''phōs''; genitive ''phōtos''), meaning "light", and ''γραφή'' (''graphê''), meaning "drawing, writing", together meaning "drawing of light".


Early history of the camera

A natural phenomenon, known as
camera obscura A camera obscura (; ) is a darkened room with a small hole or lens at one side through which an image is projected onto a wall or table opposite the hole. ''Camera obscura'' can also refer to analogous constructions such as a box or tent in w ...
or pinhole image, can project a (reversed) image through a small opening onto an opposite surface. This principle may have been known and used in prehistoric times. The earliest known written record of the camera obscura is to be found in Chinese writings by
Mozi Mozi (; ; Latinized as Micius ; – ), original name Mo Di (), was a Chinese philosopher who founded the school of Mohism during the Hundred Schools of Thought period (the early portion of the Warring States period, –221 BCE). The ancie ...
, dated to the 4th century BCE. Until the 16th century the camera obscura was mainly used to study optics and astronomy, especially to safely watch solar eclipses without damaging the eyes. In the later half of the 16th century some technical improvements were developed: a biconvex lens in the opening (first described by
Gerolamo Cardano Gerolamo Cardano (; also Girolamo or Geronimo; french: link=no, Jérôme Cardan; la, Hieronymus Cardanus; 24 September 1501– 21 September 1576) was an Italian polymath, whose interests and proficiencies ranged through those of mathematician, ...
in 1550) and a
diaphragm Diaphragm may refer to: Anatomy * Thoracic diaphragm, a thin sheet of muscle between the thorax and the abdomen * Pelvic diaphragm or pelvic floor, a pelvic structure * Urogenital diaphragm or triangular ligament, a pelvic structure Other * Diap ...
restricting the aperture ( Daniel Barbaro in 1568) gave a brighter and sharper image. In 1558
Giambattista della Porta Giambattista della Porta (; 1535 – 4 February 1615), also known as Giovanni Battista Della Porta, was an Italian scholar, polymath and playwright who lived in Naples at the time of the Renaissance, Scientific Revolution and Reformation. Giamb ...
advised using the camera obscura as a drawing aid in his popular and influential books. Della Porta's advice was widely adopted by artists and since the 17th century portable versions of the camera obscura were commonly used — first as a tent, later as boxes. The box type camera obscura was the basis for the earliest photographic cameras when photography was developed in the early 19th century.


Before 1700: Light sensitive materials

The notion that light can affect various substances — for instance, the sun tanning of skin or fading of textile — must have been around since very early times. Ideas of fixing the images seen in mirrors or other ways of creating images automatically may also have been in people's minds long before anything like photography was developed. Gernsheim, Helmut (1986).
A concise history of photography
'. Courier Dover Publications.
However, there seem to be no historical records of any ideas even remotely resembling photography before 1700, despite early knowledge of light-sensitive materials and the camera obscura. In 1614 Angelo Sala noted that sunlight will turn powdered
silver nitrate Silver nitrate is an inorganic compound with chemical formula . It is a versatile precursor to many other silver compounds, such as those used in photography. It is far less sensitive to light than the halides. It was once called ''lunar causti ...
black, and that paper wrapped around silver nitrate for a year will turn black. Wilhelm Homberg described how light darkened some chemicals in 1694.


1700 to 1802: earliest concepts and fleeting photogram results


Schulze's Scotophors: earliest fleeting letter photograms (circa 1717)

Around 1717, German
polymath A polymath ( el, πολυμαθής, , "having learned much"; la, homo universalis, "universal human") is an individual whose knowledge spans a substantial number of subjects, known to draw on complex bodies of knowledge to solve specific pro ...
Johann Heinrich Schulze Johann Heinrich Schulze (12 May 1687 – 10 October 1744) was a German professor and polymath. History Schulze studied medicine, chemistry, philosophy and theology and became a professor in Altdorf and Halle for anatomy and several other s ...
accidentally discovered that a slurry of
chalk Chalk is a soft, white, porous, sedimentary carbonate rock. It is a form of limestone composed of the mineral calcite and originally formed deep under the sea by the compression of microscopic plankton that had settled to the sea floor. C ...
and
nitric acid Nitric acid is the inorganic compound with the formula . It is a highly corrosive mineral acid. The compound is colorless, but older samples tend to be yellow cast due to decomposition into oxides of nitrogen. Most commercially available ni ...
into which some
silver Silver is a chemical element with the symbol Ag (from the Latin ', derived from the Proto-Indo-European ''h₂erǵ'': "shiny" or "white") and atomic number 47. A soft, white, lustrous transition metal, it exhibits the highest electrical ...
particles had been dissolved was darkened by sunlight. After experiments with threads that had created lines on the bottled substance after he placed it in direct sunlight for a while, he applied
stencils Stencilling produces an image or pattern on a surface, by applying pigment to a surface through an intermediate object, with designed holes in the intermediate object, to create a pattern or image on a surface, by allowing the pigment to reach ...
of words to the bottle. The stencils produced copies of the text in dark red, almost violet characters on the surface of the otherwise whitish contents. The impressions persisted until they were erased by shaking the bottle or until overall exposure to light obliterated them. Schulze named the substance "Scotophors" when he published his findings in 1719. He thought the discovery could be applied to detect whether metals or minerals contained any silver and hoped that further experimentation by others would lead to some other useful results. Schulze's process resembled later
photogram A photogram is a photographic image made without a camera by placing objects directly onto the surface of a light-sensitive material such as photographic paper and then exposing it to light. The usual result is a negative shadow image th ...
techniques and is sometimes regarded as the very first form of photography.


De la Roche's fictional image capturing process (1760)

The early science fiction novel ''
Giphantie ''Giphantie'' is a novel by Tiphaigne de la Roche published in 1760. The title is an anagram of the author's given name. A translated excerpt from the novel describes photography: “Thou knowest that the rays of light, reflected from differe ...
'' (1760) by the Frenchman Tiphaigne de la Roche described something quite similar to (color) photography, a process that fixes fleeting images formed by rays of light: "They coat a piece of canvas with this material, and place it in front of the object to capture. The first effect of this cloth is similar to that of a mirror, but by means of its viscous nature the prepared canvas, as is not the case with the mirror, retains a facsimile of the image. The mirror represents images faithfully, but retains none; our canvas reflects them no less faithfully, but retains them all. This impression of the image is instantaneous. The canvas is then removed and deposited in a dark place. An hour later the impression is dry, and you have a picture the more precious in that no art can imitate its truthfulness." De la Roche thus imagined a process that made use of a special substance in combination with the qualities of a mirror, rather than the camera obscura. The dark place in which the pictures dried suggests that he thought about the light sensitivity of the material, but he attributed the effect to its viscous nature.


Scheele's forgotten chemical fixer (1777)

In 1777, the chemist
Carl Wilhelm Scheele Carl Wilhelm Scheele (, ; 9 December 1742 – 21 May 1786) was a Swedish German pharmaceutical chemist. Scheele discovered oxygen (although Joseph Priestley published his findings first), and identified molybdenum, tungsten, barium, hydr ...
was studying the more intrinsically light-sensitive
silver chloride Silver chloride is a chemical compound with the chemical formula Ag Cl. This white crystalline solid is well known for its low solubility in water (this behavior being reminiscent of the chlorides of Tl+ and Pb2+). Upon illumination or heat ...
and determined that light darkened it by disintegrating it into microscopic dark particles of metallic silver. Of greater potential usefulness, Scheele found that
ammonia Ammonia is an inorganic compound of nitrogen and hydrogen with the formula . A stable binary hydride, and the simplest pnictogen hydride, ammonia is a colourless gas with a distinct pungent smell. Biologically, it is a common nitrogenous ...
dissolved the silver chloride, but not the dark particles. This discovery could have been used to stabilize or "fix" a camera image captured with silver chloride, but was not picked up by the earliest photography experimenters. Scheele also noted that red light did not have much effect on silver chloride, a phenomenon that would later be applied in photographic
darkroom A darkroom is used to process photographic film, to make prints and to carry out other associated tasks. It is a room that can be made completely dark to allow the processing of the light-sensitive photographic materials, including film and ph ...
s as a method of seeing black-and-white prints without harming their development. Although Thomas Wedgwood felt inspired by Scheele's writings in general, he must have missed or forgotten these experiments; he found no method to fix the photogram and shadow images he managed to capture around 1800 (see below).


Elizabeth Fulhame and the effect of light on silver salts (1794)

Elizabeth Fulhame Elizabeth Fulhame (fl. 1794) was an early British chemist who invented the concept of catalysis and discovered photoreduction. She describes catalysis as a process at length in her 1794 book ''An Essay On Combustion with a View to a New Art of ...
's book ''An essay on combustion'' described her experiments of the effects of light on silver salts. She is better known for her discovery of what is now called
catalysis Catalysis () is the process of increasing the rate of a chemical reaction by adding a substance known as a catalyst (). Catalysts are not consumed in the reaction and remain unchanged after it. If the reaction is rapid and the catalyst recyc ...
, but Larry J. Schaaf in his history of photography considered her work on silver chemistry to represent a major step in the development of photography.


Thomas Wedgwood and Humphry Davy: Fleeting detailed photograms (1790?–1802)

English photographer and inventor Thomas Wedgwood is believed to have been the first person to have thought of creating permanent pictures by capturing camera images on material coated with a light-sensitive chemical. He originally wanted to capture the images of a camera obscura, but found they were too faint to have an effect upon the
silver nitrate Silver nitrate is an inorganic compound with chemical formula . It is a versatile precursor to many other silver compounds, such as those used in photography. It is far less sensitive to light than the halides. It was once called ''lunar causti ...
solution that was recommended to him as a light-sensitive substance. Wedgwood did manage to copy painted glass plates and captured shadows on white leather, as well as on paper moistened with a silver nitrate solution. Attempts to preserve the results with their "distinct tints of brown or black, sensibly differing in intensity" failed. It is unclear when Wedgwood's experiments took place. He may have started before 1790;
James Watt James Watt (; 30 January 1736 (19 January 1736 OS) – 25 August 1819) was a Scottish inventor, mechanical engineer, and chemist who improved on Thomas Newcomen's 1712 Newcomen steam engine with his Watt steam engine in 1776, which was ...
wrote a letter to Thomas Wedgwood's father
Josiah Wedgwood Josiah Wedgwood (12 July 1730 – 3 January 1795) was an English potter, entrepreneur and abolitionist. Founding the Wedgwood company in 1759, he developed improved pottery bodies by systematic experimentation, and was the leader in the indus ...
to thank him "for your instructions as to the Silver Pictures, about which, when at home, I will make some experiments". This letter (now lost) is believed to have been written in 1790, 1791 or 1799. In 1802, an account by
Humphry Davy Sir Humphry Davy, 1st Baronet, (17 December 177829 May 1829) was a British chemist and inventor who invented the Davy lamp and a very early form of arc lamp. He is also remembered for isolating, by using electricity, several elements for ...
detailing Wedgwood's experiments was published in an early journal of the
Royal Institution The Royal Institution of Great Britain (often the Royal Institution, Ri or RI) is an organisation for scientific education and research, based in the City of Westminster. It was founded in 1799 by the leading British scientists of the age, inc ...
with the title ''An Account of a Method of Copying Paintings upon Glass, and of Making Profiles, by the Agency of Light upon Nitrate of Silver''. Davy added that the method could be used for objects that are partly opaque and partly transparent to create accurate representations of, for instance, "the woody fibres of leaves and the wings of insects". He also found that solar microscope images of small objects were easily captured on prepared paper. Davy, apparently unaware or forgetful of Scheele's discovery, concluded that substances should be found to eliminate (or deactivate) the unexposed particles in silver nitrate or silver chloride "to render the process as useful as it is elegant". Wedgwood may have prematurely abandoned his experiments because of his frail and failing health. He died at age 34 in 1805. Davy seems not to have continued the experiments. Although the journal of the nascent Royal Institution probably reached its very small group of members, the article must have been read eventually by many more people. It was reviewed by
David Brewster Sir David Brewster KH PRSE FRS FSA Scot FSSA MICE (11 December 178110 February 1868) was a British scientist, inventor, author, and academic administrator. In science he is principally remembered for his experimental work in physical optics ...
in the ''Edinburgh Magazine'' in December 1802, appeared in chemistry textbooks as early as 1803, was translated into French and was published in German in 1811. Readers of the article may have been discouraged to find a fixer, because the highly acclaimed scientist Davy had already tried and failed. Apparently the article was not noted by Niépce or Daguerre, and by Talbot only after he had developed his own processes.


Jacques Charles: Fleeting silhouette photograms (circa 1801?)

French balloonist, professor and inventor
Jacques Charles Jacques Alexandre César Charles (November 12, 1746 – April 7, 1823) was a French inventor, scientist, mathematician, and balloonist. Charles wrote almost nothing about mathematics, and most of what has been credited to him was due to mistaking ...
is believed to have captured fleeting negative photograms of
silhouette A silhouette ( , ) is the image of a person, animal, object or scene represented as a solid shape of a single colour, usually black, with its edges matching the outline of the subject. The interior of a silhouette is featureless, and the silhou ...
s on light-sensitive paper at the start of the 19th century, prior to Wedgwood. Charles died in 1823 without having documented the process, but purportedly demonstrated it in his lectures at the Louvre. It was not publicized until
François Arago Dominique François Jean Arago ( ca, Domènec Francesc Joan Aragó), known simply as François Arago (; Catalan: ''Francesc Aragó'', ; 26 February 17862 October 1853), was a French mathematician, physicist, astronomer, freemason, supporter of t ...
mentioned it at his introduction of the details of the daguerreotype to the world in 1839. He later wrote that the first idea of fixing the images of the camera obscura or the solar microscope with chemical substances belonged to Charles. Later historians probably only built on Arago's information, and, much later, the unsupported year 1780 was attached to it. As Arago indicated the first years of the 19th century and a date prior to the 1802 publication of Wedgwood's process, this would mean that Charles' demonstrations took place in 1800 or 1801, assuming that Arago was this accurate almost 40 years later.


1816 to 1833: Niépce's earliest fixed images

In 1816,
Nicéphore Niépce Joseph Nicéphore Niépce (; 7 March 1765 – 5 July 1833), commonly known or referred to simply as Nicéphore Niépce, was a French inventor, usually credited with the invention of photography. Niépce developed heliography, a technique he us ...
, using paper coated with
silver chloride Silver chloride is a chemical compound with the chemical formula Ag Cl. This white crystalline solid is well known for its low solubility in water (this behavior being reminiscent of the chlorides of Tl+ and Pb2+). Upon illumination or heat ...
, succeeded in photographing the images formed in a small camera, but the photographs were negatives, darkest where the camera image was lightest and vice versa, and they were not permanent in the sense of being reasonably light-fast; like earlier experimenters, Niépce could find no way to prevent the coating from darkening all over when it was exposed to light for viewing. Disenchanted with
silver salts A silver halide (or silver salt) is one of the chemical compounds that can form between the element silver (Ag) and one of the halogens. In particular, bromine (Br), chlorine (Cl), iodine (I) and fluorine (F) may each combine with silver to p ...
, he turned his attention to light-sensitive organic substances. The oldest surviving photograph of the image formed in a camera was created by Niépce in 1826 or 1827. It was made on a polished sheet of
pewter Pewter () is a malleable metal alloy consisting of tin (85–99%), antimony (approximately 5–10%), copper (2%), bismuth, and sometimes silver. Copper and antimony (and in antiquity lead) act as hardeners, but lead may be used in lower grades ...
and the light-sensitive substance was a thin coating of
bitumen Asphalt, also known as bitumen (, ), is a sticky, black, highly viscous liquid or semi-solid form of petroleum. It may be found in natural deposits or may be a refined product, and is classed as a pitch. Before the 20th century, the term a ...
, a naturally occurring
petroleum Petroleum, also known as crude oil, or simply oil, is a naturally occurring yellowish-black liquid mixture of mainly hydrocarbons, and is found in geological formations. The name ''petroleum'' covers both naturally occurring unprocessed crud ...
tar, which was dissolved in
lavender oil Lavender oil is an essential oil obtained by distillation from the flower spikes of certain species of lavender. There are over 400 types of lavender worldwide with different scents and qualities. Two forms of lavender oil are distinguished, ' ...
, applied to the surface of the pewter and allowed to dry before use.
By Christine Sutton
After a very long exposure in the camera (traditionally said to be eight hours, but now believed to be several days), the bitumen was sufficiently hardened in proportion to its exposure to light that the unhardened part could be removed with a solvent, leaving a positive image with the light areas represented by hardened bitumen and the dark areas by bare pewter. To see the image plainly, the plate had to be lit and viewed in such a way that the bare metal appeared dark and the bitumen relatively light. In partnership, Niépce in
Chalon-sur-Saône Chalon-sur-Saône (, literally ''Chalon on Saône'') is a city in the Saône-et-Loire department in the region of Bourgogne-Franche-Comté in eastern France. It is a sub-prefecture of the department. It is the largest city in the department; how ...
and
Louis Daguerre Louis-Jacques-Mandé Daguerre ( , ; 18 November 1787 – 10 July 1851) was a French artist and photographer, recognized for his invention of the eponymous daguerreotype process of photography. He became known as one of the fathers of photog ...
in
Paris Paris () is the capital and most populous city of France, with an estimated population of 2,165,423 residents in 2019 in an area of more than 105 km² (41 sq mi), making it the 30th most densely populated city in the world in 2020. Si ...
refined the bitumen process, substituting a more sensitive resin and a very different post-exposure treatment that yielded higher-quality and more easily viewed images. Exposure times in the camera, although substantially reduced, were still measured in hours.


1832 to 1840: early monochrome processes

Niépce died suddenly in 1833, leaving his notes to Daguerre. More interested in silver-based processes than Niépce had been, Daguerre experimented with photographing camera images directly onto a mirror-like silver-surfaced plate that had been fumed with
iodine Iodine is a chemical element with the Symbol (chemistry), symbol I and atomic number 53. The heaviest of the stable halogens, it exists as a semi-lustrous, non-metallic solid at standard conditions that melts to form a deep violet liquid at , ...
vapor, which reacted with the silver to form a coating of
silver iodide Silver iodide is an inorganic compound with the formula Ag I. The compound is a bright yellow solid, but samples almost always contain impurities of metallic silver that give a gray coloration. The silver contamination arises because AgI is hi ...
. As with the bitumen process, the result appeared as a positive when it was suitably lit and viewed. Exposure times were still impractically long until Daguerre made the pivotal discovery that an invisibly slight or "latent" image produced on such a plate by a much shorter exposure could be "developed" to full visibility by mercury fumes. This brought the required exposure time down to a few minutes under optimum conditions. A strong hot solution of common salt served to stabilize or fix the image by removing the remaining silver iodide. On 7 January 1839, this first complete practical photographic process was announced at a meeting of the French Academy of Sciences, and the news quickly spread. At first, all details of the process were withheld and specimens were shown only at Daguerre's studio, under his close supervision, to Academy members and other distinguished guests. Arrangements were made for the French government to buy the rights in exchange for pensions for Niépce's son and Daguerre and present the invention to the world (with the exception of Great Britain, where an agent for Daguerre
patent A patent is a type of intellectual property that gives its owner the legal right to exclude others from making, using, or selling an invention for a limited period of time in exchange for publishing an enabling disclosure of the invention."A ...
ed it) as a free gift. Complete instructions were made public on 19 August 1839. Known as the
daguerreotype Daguerreotype (; french: daguerréotype) was the first publicly available photographic process; it was widely used during the 1840s and 1850s. "Daguerreotype" also refers to an image created through this process. Invented by Louis Daguerre a ...
process, it was the most common commercial process until the late 1850s when it was superseded by the
collodion process The collodion process is an early photographic process. The collodion process, mostly synonymous with the "collodion wet plate process", requires the photographic material to be coated, sensitized, exposed, and developed within the span of about ...
. French-born
Hércules Florence Antoine Hercule Romuald Florence (February 29, 1804 – March 27, 1879) was a Monegasque-Brazilian painter and inventor, known as the isolate inventor of photography in Brazil, three years before Daguerre (but six years after Nicéphore Niépce) ...
developed his own photographic technique in 1832 or 1833 in Brazil, with some help of pharmacist Joaquim Corrêa de Mello (1816–1877). Looking for another method to copy graphic designs he captured their images on paper treated with silver nitrate as contact prints or in a camera obscura device. He did not manage to properly fix his images and abandoned the project after hearing of the Daguerreotype process in 1839 and didn't properly publish any of his findings. He reportedly referred to the technique as "photographie" (in French) as early as 1833, also helped by a suggestion of De Mello. Some extant photographic contact prints are believed to have been made in circa 1833 and kept in the collection of IMS.
Henry Fox Talbot William Henry Fox Talbot FRS FRSE FRAS (; 11 February 180017 September 1877) was an English scientist, inventor, and photography pioneer who invented the salted paper and calotype processes, precursors to photographic processes of the later 1 ...
had already succeeded in creating stabilized photographic negatives on paper in 1835, but worked on perfecting his own process after reading early reports of Daguerre's invention. In early 1839, he acquired a key improvement, an effective fixer, from his friend
John Herschel Sir John Frederick William Herschel, 1st Baronet (; 7 March 1792 – 11 May 1871) was an English polymath active as a mathematician, astronomer, chemist, inventor, experimental photographer who invented the blueprint and did botanical wo ...
, a
polymath A polymath ( el, πολυμαθής, , "having learned much"; la, homo universalis, "universal human") is an individual whose knowledge spans a substantial number of subjects, known to draw on complex bodies of knowledge to solve specific pro ...
scientist who had previously shown that hyposulfite of soda (commonly called "hypo" and now known formally as
sodium thiosulfate Sodium thiosulfate (sodium thiosulphate) is an inorganic compound with the formula . Typically it is available as the white or colorless pentahydrate, . The solid is an efflorescent (loses water readily) crystalline substance that dissolves well ...
) would dissolve silver salts. News of this solvent also benefited Daguerre, who soon adopted it as a more efficient alternative to his original hot salt water method. Talbot's early
silver chloride Silver chloride is a chemical compound with the chemical formula Ag Cl. This white crystalline solid is well known for its low solubility in water (this behavior being reminiscent of the chlorides of Tl+ and Pb2+). Upon illumination or heat ...
"sensitive paper" experiments required camera exposures of an hour or more. In 1841, Talbot invented the
calotype Calotype or talbotype is an early photographic process introduced in 1841 by William Henry Fox Talbot, using paper coated with silver iodide. Paper texture effects in calotype photography limit the ability of this early process to record low ...
process, which, like Daguerre's process, used the principle of chemical development of a faint or invisible "latent" image to reduce the exposure time to a few minutes. Paper with a coating of
silver iodide Silver iodide is an inorganic compound with the formula Ag I. The compound is a bright yellow solid, but samples almost always contain impurities of metallic silver that give a gray coloration. The silver contamination arises because AgI is hi ...
was exposed in the camera and developed into a translucent negative image. Unlike a daguerreotype, which could only be copied by photographing it with a camera, a calotype negative could be used to make a large number of positive prints by simple
contact print A contact print is a photographic image produced from film; sometimes from a film negative, and sometimes from a film positive or paper negative. In a darkroom an exposed and developed piece of film or photographic paper is placed emulsion sid ...
ing. The calotype had yet another distinction compared to other early photographic processes, in that the finished product lacked fine clarity due to its translucent paper negative. This was seen as a positive attribute for portraits because it softened the appearance of the human face. Talbot patented this process, which greatly limited its adoption, and spent many years pressing lawsuits against alleged infringers. He attempted to enforce a very broad interpretation of his patent, earning himself the ill will of photographers who were using the related glass-based processes later introduced by other inventors, but he was eventually defeated. Nonetheless, Talbot's developed-out silver halide negative process is the basic technology used by chemical film cameras today.
Hippolyte Bayard Hippolyte Bayard (20 January 1801 – 14 May 1887) was a French photographer and pioneer in the history of photography. He invented his own process that produced direct positive paper prints in the camera and presented the world's first public e ...
had also developed a method of photography but delayed announcing it, and so was not recognized as its inventor. In 1839,
John Herschel Sir John Frederick William Herschel, 1st Baronet (; 7 March 1792 – 11 May 1871) was an English polymath active as a mathematician, astronomer, chemist, inventor, experimental photographer who invented the blueprint and did botanical wo ...
made the first glass negative, but his process was difficult to reproduce. Slovene
Janez Puhar Johann Augustin Pucher ( sl, Janez Avguštin Puhar or ''Ivan Pucher''; August 26, 1814 – August 7, 1864) was a Slovene priest, scientist, photographer, artist, and poet who invented an unusual process for making photographs on glass. Althoug ...
invented a process for making photographs on glass in 1841; it was recognized on June 17, 1852 in Paris by the Académie National Agricole, Manufacturière et Commerciale. In 1847, Nicephore Niépce's cousin, the chemist Niépce St. Victor, published his invention of a process for making glass plates with an
albumen Egg white is the clear liquid (also called the albumen or the glair/glaire) contained within an egg. In chickens it is formed from the layers of secretions of the anterior section of the hen's oviduct during the passage of the egg. It forms a ...
emulsion; the Langenheim brothers of Philadelphia and John Whipple and William Breed Jones of Boston also invented workable negative-on-glass processes in the mid-1840s.


1850 to 1900

In 1851, English sculptor
Frederick Scott Archer ] Frederick Scott Archer (1813 – 1 May 1857) was an English photographer and sculptor who is best known for having invented the photographic collodion process which preceded the modern gelatin emulsion. He was born in either Bishop's Stortfor ...
invented the
collodion process The collodion process is an early photographic process. The collodion process, mostly synonymous with the "collodion wet plate process", requires the photographic material to be coated, sensitized, exposed, and developed within the span of about ...
. Photographer and children's author
Lewis Carroll Charles Lutwidge Dodgson (; 27 January 1832 – 14 January 1898), better known by his pen name Lewis Carroll, was an English author, poet and mathematician. His most notable works are '' Alice's Adventures in Wonderland'' (1865) and its sequ ...
used this process. Carroll refers to the process as "Talbotype" in the story "A Photographer's Day Out". Herbert Bowyer Berkeley experimented with his own version of collodion emulsions after Samman introduced the idea of adding
dithionite The dithionite is the oxyanion with the formula 2O4sup>2−. It is commonly encountered as the salt sodium dithionite. For historical reasons, it is sometimes called hydrosulfite, but it contains no hydrogen and is not a sulfite. The dianio ...
to the
pyrogallol Pyrogallol is an organic compound with the formula C6H3(OH)3. It is a water-soluble, white solid although samples are typically brownish because of its sensitivity toward oxygen. It is one of three isomers of benzenetriols. Production and reac ...
developer. Berkeley discovered that with his own addition of
sulfite Sulfites or sulphites are compounds that contain the sulfite ion (or the sulfate(IV) ion, from its correct systematic name), . The sulfite ion is the conjugate base of bisulfite. Although its acid ( sulfurous acid) is elusive, its salts are w ...
, to absorb the
sulfur dioxide Sulfur dioxide (IUPAC-recommended spelling) or sulphur dioxide (traditional Commonwealth English) is the chemical compound with the formula . It is a toxic gas responsible for the odor of burnt matches. It is released naturally by volcanic a ...
given off by the chemical dithionite in the
developer Developer may refer to: Computers *Software developer, a person or organization who develop programs/applications * Video game developer, a person or business involved in video game development, the process of designing and creating games * Web de ...
, dithionite was not required in the developing process. In 1881, he published his discovery. Berkeley's formula contained pyrogallol, sulfite, and citric acid. Ammonia was added just before use to make the formula
alkaline In chemistry, an alkali (; from ar, القلوي, al-qaly, lit=ashes of the saltwort) is a basic, ionic salt of an alkali metal or an alkaline earth metal. An alkali can also be defined as a base that dissolves in water. A solution of a ...
. The new formula was sold by the
Platinotype Company Platinum prints, also called ''platinotypes'', are photographic prints made by a monochrome photographic printing, printing process involving platinum. Platinum tones range from warm black, to reddish brown, to expanded mid-tone grays that are ...
in London as Sulphur-Pyrogallol Developer. Nineteenth-century experimentation with photographic processes frequently became proprietary. The German-born, New Orleans photographer Theodore Lilienthal successfully sought legal redress in an 1881 infringement case involving his "Lambert Process" in the Eastern District of Louisiana.


Popularization

The daguerreotype proved popular in response to the demand for
portraiture A portrait is a painting, photograph, sculpture, or other artistic representation of a person, in which the face and its expressions are predominant. The intent is to display the likeness, personality, and even the mood of the person. For this re ...
that emerged from the middle classes during the
Industrial Revolution The Industrial Revolution was the transition to new manufacturing processes in Great Britain, continental Europe, and the United States, that occurred during the period from around 1760 to about 1820–1840. This transition included going f ...
. This demand, which could not be met in volume and in cost by oil painting, added to the push for the development of photography.
Roger Fenton Roger Fenton (28 March 1819 – 8 August 1869) was a British photographer, noted as one of the first war photographers. Fenton was born into a Lancashire merchant family. After graduating from London with an Arts degree, he became interested i ...
and
Philip Henry Delamotte Philip Henry Delamotte (21 April 1821 – 24 February 1889) was a British photographer and illustrator. Delamotte was born at the Royal Military College, Sandhurst, the son of Mary and William Alfred Delamotte. Philip Delamotte became an artis ...
helped popularize the new way of recording events, the first by his
Crimean War The Crimean War, , was fought from October 1853 to February 1856 between Russia and an ultimately victorious alliance of the Ottoman Empire, France, the United Kingdom and Piedmont-Sardinia. Geopolitical causes of the war included the ...
pictures, the second by his record of the disassembly and reconstruction of
The Crystal Palace The Crystal Palace was a cast iron and plate glass structure, originally built in Hyde Park, London, Hyde Park, London, to house the Great Exhibition of 1851. The exhibition took place from 1 May to 15 October 1851, and more than 14,000 exhibit ...
in
London London is the capital and List of urban areas in the United Kingdom, largest city of England and the United Kingdom, with a population of just under 9 million. It stands on the River Thames in south-east England at the head of a estuary dow ...
. Other mid-nineteenth-century photographers established the medium as a more precise means than engraving or lithography of making a record of landscapes and architecture: for example, Robert Macpherson's broad range of photographs of Rome, the interior of the Vatican, and the surrounding countryside became a sophisticated tourist's visual record of his own travels. In 1839,
François Arago Dominique François Jean Arago ( ca, Domènec Francesc Joan Aragó), known simply as François Arago (; Catalan: ''Francesc Aragó'', ; 26 February 17862 October 1853), was a French mathematician, physicist, astronomer, freemason, supporter of t ...
reported the invention of photography to stunned listeners by displaying the first photo taken in Egypt; that of Ras El Tin Palace. In America, by 1851 a broadsheet by daguerreotypist
Augustus Washington Augustus Washington ( – June 7, 1875) was an American photographer and daguerreotypist. He was born in New Jersey as a free person of color and migrated to Liberia in 1852. He is one of the few African-American daguerreotypists whose career has b ...
was advertising prices ranging from 50 cents to $10. However, daguerreotypes were fragile and difficult to copy. Photographers encouraged chemists to refine the process of making many copies cheaply, which eventually led them back to Talbot's process. Ultimately, the
photographic process A list of photographic processing techniques. Color *Agfacolor **Ap-41 process (pre-1978 Agfa color slides; 1978-1983 was a transition period when Agfa slowly changed their color slide films from AP-41 to E6) *Anthotype *Autochrome Lumière, 1903 ...
came about from a series of refinements and improvements in the first 20 years. In 1884
George Eastman George Eastman (July 12, 1854March 14, 1932) was an American entrepreneur who founded the Eastman Kodak Company and helped to bring the photographic use of roll film into the mainstream. He was a major philanthropist, establishing the Eastman ...
, of
Rochester, New York Rochester () is a City (New York), city in the U.S. state of New York (state), New York, the county seat, seat of Monroe County, New York, Monroe County, and the fourth-most populous in the state after New York City, Buffalo, New York, Buffalo, ...
, developed dry gel on paper, or
film A film also called a movie, motion picture, moving picture, picture, photoplay or (slang) flick is a work of visual art that simulates experiences and otherwise communicates ideas, stories, perceptions, feelings, beauty, or atmospher ...
, to replace the photographic plate so that a photographer no longer needed to carry boxes of plates and toxic chemicals around. In July 1888 Eastman's
Kodak The Eastman Kodak Company (referred to simply as Kodak ) is an American public company that produces various products related to its historic basis in analogue photography. The company is headquartered in Rochester, New York, and is incorpor ...
camera went on the market with the slogan "You press the button, we do the rest". Now anyone could take a photograph and leave the complex parts of the process to others, and photography became available for the mass-market in 1901 with the introduction of the
Kodak Brownie The Brownie was a series of cameras made by Eastman Kodak. Released in 1900, it introduced the snapshot to the masses. It was a basic cardboard box camera with a simple convex-concave lens that took 2 1/4-inch square pictures on No. 117 roll fi ...
.


Stereoscopic photography

Charles Wheatstone Sir Charles Wheatstone FRS FRSE DCL LLD (6 February 1802 – 19 October 1875), was an English scientist and inventor of many scientific breakthroughs of the Victorian era, including the English concertina, the stereoscope (a device for di ...
developed his mirror stereoscope around 1832, but did not really publicize his invention until June 1838. He recognized the possibility of a combination with photography soon after Daguerre and Talbot announced their inventions and got
Henry Fox Talbot William Henry Fox Talbot FRS FRSE FRAS (; 11 February 180017 September 1877) was an English scientist, inventor, and photography pioneer who invented the salted paper and calotype processes, precursors to photographic processes of the later 1 ...
to produce some
calotype Calotype or talbotype is an early photographic process introduced in 1841 by William Henry Fox Talbot, using paper coated with silver iodide. Paper texture effects in calotype photography limit the ability of this early process to record low ...
pairs for the stereoscope. He received the first results in October 1840, but was not fully satisfied as the angle between the shots was very big. Between 1841 and 1842 Henry Collen made calotypes of statues, buildings and portraits, including a portrait of
Charles Babbage Charles Babbage (; 26 December 1791 – 18 October 1871) was an English polymath. A mathematician, philosopher, inventor and mechanical engineer, Babbage originated the concept of a digital programmable computer. Babbage is considered ...
shot in August 1841. Wheatstone also obtained daguerreotype stereograms from Mr. Beard in 1841 and from
Hippolyte Fizeau Armand Hippolyte Louis Fizeau FRS FRSE MIF (; 23 September 181918 September 1896) was a French physicist, best known for measuring the speed of light in the namesake Fizeau experiment. Biography Fizeau was born in Paris to Louis and Beatrice Fi ...
and
Antoine Claudet Ada Byron's daguerreotype by Claudet, . Antoine François Jean Claudet (August 18, 1797 – December 27, 1867) was a French photographer and artist active in London who produced daguerreotypes. Early Years Claudet was born in La Croix-Rousse ...
in 1842. None of these have yet been located.
David Brewster Sir David Brewster KH PRSE FRS FSA Scot FSSA MICE (11 December 178110 February 1868) was a British scientist, inventor, author, and academic administrator. In science he is principally remembered for his experimental work in physical optics ...
developed a stereoscope with lenses and a binocular camera in 1844. He presented two stereoscopic self portraits made by John Adamson in March 1849. A stereoscopic portrait of Adamson in the University of St Andrews Library Photographic Archive, dated "circa 1845', may be one of these sets. A stereoscopic daguerreotype portrait of
Michael Faraday Michael Faraday (; 22 September 1791 – 25 August 1867) was an English scientist who contributed to the study of electromagnetism and electrochemistry. His main discoveries include the principles underlying electromagnetic inducti ...
in Kingston College's Wheatstone collection and on loan to Bradford National Media Museum, dated "circa 1848", may be older.


Color process

A practical means of
color photography Color photography is photography that uses media capable of capturing and reproducing colors. By contrast, black-and-white or gray- monochrome photography records only a single channel of luminance (brightness) and uses media capable only of ...
was sought from the very beginning. Results were demonstrated by
Edmond Becquerel Alexandre-Edmond Becquerel (24 March 1820 – 11 May 1891), known as Edmond Becquerel, was a French physicist who studied the solar spectrum, magnetism, electricity and optics. He is credited with the discovery of the photovoltaic effect, the o ...
as early as the year of 1848, but exposures lasting for hours or days were required and the captured colors were so light-sensitive they would only bear very brief inspection in dim light. The first durable color photograph was a set of three black-and-white photographs taken through red, green, and blue color
filters Filter, filtering or filters may refer to: Science and technology Computing * Filter (higher-order function), in functional programming * Filter (software), a computer program to process a data stream * Filter (video), a software component that ...
and shown superimposed by using three
projectors A projector or image projector is an optical device that projects an image (or moving images) onto a surface, commonly a projection screen. Most projectors create an image by shining a light through a small transparent lens, but some newer types ...
with similar filters. It was taken by
Thomas Sutton Thomas Sutton (1532 – 12 December 1611) was an English civil servant and businessman, born in Knaith, Lincolnshire. He is remembered as the founder of the London Charterhouse and of Charterhouse School. Life Sutton was the son of an official ...
in 1861 for use in a lecture by the Scottish physicist
James Clerk Maxwell James Clerk Maxwell (13 June 1831 – 5 November 1879) was a Scottish mathematician and scientist responsible for the classical theory of electromagnetic radiation, which was the first theory to describe electricity, magnetism and ligh ...
, who had proposed the method in 1855. The photographic emulsions then in use were insensitive to most of the
spectrum A spectrum (plural ''spectra'' or ''spectrums'') is a condition that is not limited to a specific set of values but can vary, without gaps, across a continuum. The word was first used scientifically in optics to describe the rainbow of colors ...
, so the result was very imperfect and the demonstration was soon forgotten. Maxwell's method is now most widely known through the early 20th century work of Sergei Prokudin-Gorskii. It was made practical by
Hermann Wilhelm Vogel Hermann Wilhelm Vogel (26 March 1834 – 17 December 1898) was a German photochemist and photographer who discovered dye sensitization, which is of great importance to photography. Academic career After finishing school in Frankfurt (Oder), ...
's 1873 discovery of a way to make
emulsions An emulsion is a mixture of two or more liquids that are normally immiscible (unmixable or unblendable) owing to liquid-liquid phase separation. Emulsions are part of a more general class of two-phase systems of matter called colloids. Althoug ...
sensitive to the rest of the spectrum, gradually introduced into commercial use beginning in the mid-1880s. Two French inventors, Louis Ducos du Hauron and
Charles Cros Charles Cros or Émile-Hortensius-Charles Cros (October 1, 1842 – August 9, 1888) was a French poet and inventor. He was born in Fabrezan, Aude. Cros was a well-regarded poet and humorous writer. As an inventor, he was interested in the field ...
, working unknown to each other during the 1860s, famously unveiled their nearly identical ideas on the same day in 1869. Included were methods for viewing a set of three color-filtered black-and-white photographs in color without having to project them, and for using them to make full-color prints on paper. The first widely used method of color photography was the Autochrome plate, a process inventors and brothers
Auguste and Louis Lumière The Lumière brothers (, ; ), Auguste Lumière, Auguste Marie Louis Nicolas Lumière (19 October 1862 – 10 April 1954) and Louis Lumière, Louis Jean Lumière (5 October 1864 – 6 June 1948), were French manufacturers of photography equipment ...
began working on in the 1890s and commercially introduced in 1907. It was based on one of Louis Duclos du Haroun's ideas: instead of taking three separate photographs through color filters, take one through a mosaic of tiny color filters overlaid on the emulsion and view the results through an identical mosaic. If the individual filter elements were small enough, the three primary colors of red, blue, and green would blend together in the eye and produce the same additive color synthesis as the filtered projection of three separate photographs. Autochrome plates had an integral mosaic filter layer with roughly five million previously dyed potato grains per square inch added to the surface. Then through the use of a rolling press, five tons of pressure were used to flatten the grains, enabling every one of them to capture and absorb color and their microscopic size allowing the illusion that the colors are merged. The final step was adding a coat of the light-capturing substance
silver bromide Silver bromide (AgBr) is a soft, pale-yellow, water-insoluble salt well known (along with other silver halides) for its unusual sensitivity to light. This property has allowed silver halides to become the basis of modern photographic materials. A ...
, after which a color image could be imprinted and developed. In order to see it, reversal processing was used to develop each plate into a transparent positive that could be viewed directly or projected with an ordinary projector. One of the drawbacks of the technology was an exposure time of at least a second in bright daylight, with the time required quickly increasing in poor light. An indoor portrait required several minutes with the subject stationary. This was because the grains absorbed color fairly slowly, and a filter of a yellowish-orange color was required to keep the photograph from coming out excessively blue. Although necessary, the filter had the effect of reducing the amount of light that was absorbed. Another drawback was that the image could only be enlarged so much before the many dots that made up the image would become apparent. Competing screen plate products soon appeared, and film-based versions were eventually made. All were expensive, and until the 1930s none was "fast" enough for hand-held snapshot-taking, so they mostly served a niche market of affluent advanced amateurs. A new era in color photography began with the introduction of
Kodachrome Kodachrome is the brand name for a color reversal film introduced by Eastman Kodak in 1935. It was one of the first successful color materials and was used for both cinematography and still photography. For many years Kodachrome was widely used ...
film, available for 16 mm home movies in 1935 and 35 mm slides in 1936. It captured the red, green, and blue color components in three layers of emulsion. A complex processing operation produced complementary cyan, magenta, and yellow dye images in those layers, resulting in a
subtractive color Subtractive color or subtractive color mixing predicts the spectral power distribution of light after it passes through successive layers of partially absorbing media. This idealized model is the essential principle of how dyes and inks are use ...
image. Maxwell's method of taking three separate filtered black-and-white photographs continued to serve special purposes into the 1950s and beyond, and Polachrome, an "instant" slide film that used the Autochrome's additive principle, was available until 2003, but the few color print and slide films still being made in 2015 all use the multilayer emulsion approach pioneered by Kodachrome.


Development of digital photography

In 1957, a team led by
Russell A. Kirsch Russell A. Kirsch (June 20, 1929August 11, 2020) was an American engineer at the National Bureau of Standards (now known as the National Institute of Standards and Technology). He was recognized as the developer of the first digital image scanne ...
at the National Institute of Standards and Technology developed a binary
digital Digital usually refers to something using discrete digits, often binary digits. Technology and computing Hardware *Digital electronics, electronic circuits which operate using digital signals ** Digital camera, which captures and stores digital ...
version of an existing technology, the
wirephoto Wirephoto, telephotography or radiophoto is the sending of pictures by telegraph, telephone or radio. Édouard Belin's Bélinographe of 1913, which scanned using a photocell and transmitted over ordinary phone lines, formed the basis for the W ...
drum scanner, so that alphanumeric characters, diagrams, photographs and other graphics could be transferred into digital
computer memory In computing, memory is a device or system that is used to store information for immediate use in a computer or related computer hardware and digital electronic devices. The term ''memory'' is often synonymous with the term '' primary storag ...
. One of the first photographs scanned was a picture of Kirsch's infant son Walden. The resolution was 176x176
pixel In digital imaging, a pixel (abbreviated px), pel, or picture element is the smallest addressable element in a raster image, or the smallest point in an all points addressable display device. In most digital display devices, pixels are the ...
s with only one
bit The bit is the most basic unit of information in computing and digital communications. The name is a portmanteau of binary digit. The bit represents a logical state with one of two possible values. These values are most commonly represente ...
per pixel, i.e., stark black and white with no intermediate gray tones, but by combining multiple scans of the photograph done with different black-white threshold settings,
grayscale In digital photography, computer-generated imagery, and colorimetry, a grayscale image is one in which the value of each pixel is a single sample representing only an ''amount'' of light; that is, it carries only intensity information. Graysc ...
information could also be acquired. The
charge-coupled device A charge-coupled device (CCD) is an integrated circuit containing an array of linked, or coupled, capacitors. Under the control of an external circuit, each capacitor can transfer its electric charge to a neighboring capacitor. CCD sensors are a ...
(CCD) is the image-capturing
optoelectronic Optoelectronics (or optronics) is the study and application of electronic devices and systems that find, detect and control light, usually considered a sub-field of photonics. In this context, ''light'' often includes invisible forms of radiat ...
component in first-generation digital cameras. It was invented in 1969 by
Willard Boyle Willard Sterling Boyle, (August 19, 1924May 7, 2011) was a Canadian physicist. He was a pioneer in the field of laser technology and co-inventor of the charge-coupled device. As director of Space Science and Exploratory Studies at Bellcomm he h ...
and
George E. Smith George Elwood Smith (born May 10, 1930) is an American scientist, applied physicist, and co-inventor of the charge-coupled device (CCD). He was awarded a one-quarter share in the 2009 Nobel Prize in Physics for "the invention of an imaging semico ...
at AT&T
Bell Labs Nokia Bell Labs, originally named Bell Telephone Laboratories (1925–1984), then AT&T Bell Laboratories (1984–1996) and Bell Labs Innovations (1996–2007), is an American industrial research and scientific development company owned by mul ...
as a memory device. The lab was working on the
Picturephone The history of videotelephony covers the historical development of several technologies which enable the use of live video in addition to voice telecommunications. The concept of videotelephony was first popularized in the late 1870s in both th ...
and on the development of
semiconductor A semiconductor is a material which has an electrical conductivity value falling between that of a conductor, such as copper, and an insulator, such as glass. Its resistivity falls as its temperature rises; metals behave in the opposite way ...
bubble memory. Merging these two initiatives, Boyle and Smith conceived of the design of what they termed "Charge 'Bubble' Devices". The essence of the design was the ability to transfer charge along the surface of a semiconductor. It was Dr. Michael Tompsett from
Bell Labs Nokia Bell Labs, originally named Bell Telephone Laboratories (1925–1984), then AT&T Bell Laboratories (1984–1996) and Bell Labs Innovations (1996–2007), is an American industrial research and scientific development company owned by mul ...
however, who discovered that the CCD could be used as an imaging sensor. The CCD has increasingly been replaced by the
active pixel sensor An active-pixel sensor (APS) is an image sensor where each pixel sensor unit cell has a photodetector (typically a pinned photodiode) and one or more active transistors. In a metal–oxide–semiconductor (MOS) active-pixel sensor, MOS field-effec ...
(APS), commonly used in cell phone cameras. These mobile phone cameras are used by billions of people worldwide, dramatically increasing photographic activity and material and also fueling
citizen journalism Citizen journalism, also known as collaborative media, participatory journalism, democratic journalism, guerrilla journalism or street journalism, is based upon public citizens "playing an active role in the process of collecting, reporting, a ...
. * 1973 –
Fairchild Semiconductor Fairchild Semiconductor International, Inc. was an American semiconductor company based in San Jose, California. Founded in 1957 as a division of Fairchild Camera and Instrument, it became a pioneer in the manufacturing of transistors and of int ...
releases the first large image-capturing CCD
chip Chromatin immunoprecipitation (ChIP) is a type of immunoprecipitation experimental technique used to investigate the interaction between proteins and DNA in the cell. It aims to determine whether specific proteins are associated with specific genom ...
: 100 rows and 100 columns. * 1975 – Bryce Bayer of Kodak develops the
Bayer filter A Bayer filter mosaic is a color filter array (CFA) for arranging RGB color filters on a square grid of photosensors. Its particular arrangement of color filters is used in most single-chip digital image sensors used in digital cameras, cam ...
mosaic pattern for CCD color image sensors * 1986 – Kodak scientists develop the world's first
megapixel In digital imaging, a pixel (abbreviated px), pel, or picture element is the smallest addressable element in a raster image, or the smallest point in an all points addressable display device. In most digital display devices, pixels are the ...
sensor. The
web Web most often refers to: * Spider web, a silken structure created by the animal * World Wide Web or the Web, an Internet-based hypertext system Web, WEB, or the Web may also refer to: Computing * WEB, a literate programming system created by ...
has been a popular medium for storing and sharing photos ever since the first photograph was published on the web by
Tim Berners-Lee Sir Timothy John Berners-Lee (born 8 June 1955), also known as TimBL, is an English computer scientist best known as the inventor of the World Wide Web. He is a Professorial Fellow of Computer Science at the University of Oxford and a profes ...
in 1992 (an image of the
CERN The European Organization for Nuclear Research, known as CERN (; ; ), is an intergovernmental organization that operates the largest particle physics laboratory in the world. Established in 1954, it is based in a northwestern suburb of Gen ...
house band
Les Horribles Cernettes ''Les Horribles Cernettes'' (, "The Horrible CERN Girls") was an all-female parody pop group, self-labelled "the one and only High Energy Rock Band", which was founded by employees of CERN and performed at CERN and other HEP-related events. Their ...
). Since then sites and apps such as
Facebook Facebook is an online social media and social networking service owned by American company Meta Platforms. Founded in 2004 by Mark Zuckerberg with fellow Harvard College students and roommates Eduardo Saverin, Andrew McCollum, Dust ...
,
Flickr Flickr ( ; ) is an American image hosting and video hosting service, as well as an online community, founded in Canada and headquartered in the United States. It was created by Ludicorp in 2004 and was a popular way for amateur and profession ...
,
Instagram Instagram is a photo and video sharing social networking service owned by American company Meta Platforms. The app allows users to upload media that can be edited with filters and organized by hashtags and geographical tagging. Posts can ...
,
Picasa Picasa was a cross-platform image organizer and image viewer for organizing and editing digital photos, integrated with a now defunct photo-sharing website, originally created by a company named Lifescape (which at that time was incubated by I ...
(discontinued in 2016),
Imgur Imgur ( , stylized as imgur) is an American online image sharing and image hosting service with a focus on social gossip that was founded by Alan Schaaf in 2009. The service has hosted viral images and meme, particularly those posted on Reddit ...
, Photobucket and
Snapchat Snapchat is an American multimedia instant messaging app and service developed by Snap Inc., originally Snapchat Inc. One of the principal features of Snapchat is that pictures and messages are usually only available for a short time before the ...
have been used by many millions of people to share their pictures.


Gallery of historical photos

File:Dagbox.jpg, Small Wooden box containing uncased primitive daguerreotypes. They are the early work of Dr John Draper and Samuel Morse at NYU in the fall of 1839. A failed image attempt and four good images from the box are posted in this gallery. File:Failed Image 1839.jpg, Failed image attempt by John W Draper from the box containing his early efforts at making daguerreotypes at NYU in the fall of 1839 File:Dr John W Draper.jpg, Dr John William Draper, long credited as the first person to take an image of the human face, sitting with his plant experiment , pen in hand, at NYU in the fall of 1839. Daguerreotype by Samuel Morse 1839. File:Samuel Morse 1839.jpg, Samuel Morse, Art Professor at NYU in 1839. Daguerreotype by Dr John William Draper 1839. File:Freylinghuysen.jpg,
Theodore Frelinghuysen Theodore Frelinghuysen (March 28, 1787April 12, 1862) was an American politician who represented New Jersey in the United States Senate. He was the Whig vice presidential nominee in the election of 1844, running on a ticket with Henry Clay. Bo ...
, President Of NYU in 1839. Daguerreotype by Dr John William Draper 1839. File:Dr Martyn Paine.jpg, Dr Martyn Paine. One Of the founders Of the NYU medical school Daguerreotype by Dr John William Draper 1839. File:Andrew Jackson Daguerreotype.jpg,
Andrew Jackson Andrew Jackson (March 15, 1767 – June 8, 1845) was an American lawyer, planter, general, and statesman who served as the seventh president of the United States from 1829 to 1837. Before being elected to the presidency, he gained fame as ...
at age 78. File:Portrait of the Duke of Wellington, 1844, by Antoine Claudet.jpg, Arthur Wellesley, the Duke of Wellington, aged 74 or 75, made by
Antoine Claudet Ada Byron's daguerreotype by Claudet, . Antoine François Jean Claudet (August 18, 1797 – December 27, 1867) was a French photographer and artist active in London who produced daguerreotypes. Early Years Claudet was born in La Croix-Rousse ...
in 1844. File:Shiro Ichiki, Portrait of Nariakira Shimazu, 1857.jpg,
Shimazu Nariakira was a Japanese feudal lord (''daimyō'') of the Edo period, the 28th in the line of Shimazu clan lords of Satsuma Domain. He was renowned as an intelligent and wise lord, and was greatly interested in Western learning and technology. He was e ...
, made by Ichiki Shirō in 1857, the earliest surviving Japanese photograph File:1851 07 28 Berkowski.jpg, The
solar eclipse of July 28, 1851 The earliest scientifically useful photograph of a total solar eclipse was made by Julius Berkowski at the Royal Observatory in Königsberg, Prussia, on July 28, 1851. This was the first occasion that an accurate photographic image of a solar ec ...
, the first correctly exposed photograph of a solar eclipse using the daguerreotype process File:Schelling 1848.jpg, Philosopher
Friedrich Wilhelm Joseph Schelling Friedrich Wilhelm Joseph Schelling (; 27 January 1775 – 20 August 1854), later (after 1812) von Schelling, was a German philosopher. Standard histories of philosophy make him the midpoint in the development of German idealism, situating him b ...
, made by
Hermann Biow Hermann Biow (1804 – 20 February 1850) was an early German photographer who worked with daguerreotypes. In partnership with Carl Ferdinand Stelzner, he opened Germany's first daguerreotype studio in Hamburg in 1841. He is remembered for his i ...
in February 1848. File:Jose de San Martin.jpg,
José de San Martín José Francisco de San Martín y Matorras (25 February 177817 August 1850), known simply as José de San Martín () or '' the Liberator of Argentina, Chile and Peru'', was an Argentine general and the primary leader of the southern and centr ...
, made in Paris 1848. File:Conrad Heyer (1852).jpg,
Conrad Heyer Conrad Heyer (April 10, 1749 – February 19, 1856) was an American farmer, veteran of the American Revolutionary War, and centenarian who is notable for possibly being the earliest-born person to have ever been photographed. Biography H ...
at age 103 in 1852, possibly the earliest-born American ever photographed (born 1749) File:Frederic Chopin photo.jpeg,
Frédéric Chopin Frédéric François Chopin (born Fryderyk Franciszek Chopin; 1 March 181017 October 1849) was a Polish composer and virtuoso pianist of the Romantic period, who wrote primarily for solo piano. He has maintained worldwide renown as a leadin ...
, 1849


See also

*
History of the camera The history of the camera began even before the introduction of photography. Cameras evolved from the camera obscura through many generations of photographic technologydaguerreotypes, calotypes, dry plates, filmto the modern day with digital ca ...
* ''History of Photography'' (academic journal) *
Albumen print The albumen print, also called albumen silver print, was published in January 1847 by Louis Désiré Blanquart-Evrard, and was the first commercially exploitable method of producing a photographic print on a paper base from a negative. It ...
*
History of photographic lens design The invention of the camera in the early 19th century led to an array of lens designs intended for photography. The problems of photographic lens design, creating a lens for a task that would cover a large, flat image plane, were well known even b ...
* Timeline of photography technology *
Outline of photography The following outline is provided as an overview of and topical guide to photography: Photography – process of making pictures by the action of recording light patterns, reflected or emitted from objects, on a photosensitive medium or an image ...
*
Photography by indigenous peoples of the Americas Photography by indigenous peoples of the Americas is an art form that began in the late 19th century and has expanded in the 21st century, including digital photography, underwater photography, and a wide range of alternative processes. Indigenou ...
*
Women photographers The participation of women in photography goes back to the very origins of the process. Several of the earliest women photographers, most of whom were from Britain or France, were married to male pioneers or had close relationships with their fa ...
*
Movie camera A movie camera (also known as a film camera and cine-camera) is a type of photographic camera that rapidly takes a sequence of photographs, either on an image sensor or onto film stock, in order to produce a moving image to project onto a movie sc ...
*
Instant film Instant film is a type of photographic film that was introduced by Polaroid Corporation to produce a visible image within minutes or seconds of the photograph's exposure. The film contains the chemicals needed for developing and fixing the photog ...


References


Further reading


Hannavy, John. Encyclopedia of Nineteenth-Century Photography, 5 volumes

Clerc, L.P. Photography Theory and Practice, being an English edition of "La Technique Photographique"


External links

*

* ttp://www.mpritchard.com/photohistory/ A History of Photography from its Beginnings Till the 1920sby Dr. Robert Leggat, now hosted by Dr Michael Prichard
The First Photograph
at
The University of Texas at Austin The University of Texas at Austin (UT Austin, UT, or Texas) is a public research university in Austin, Texas. It was founded in 1883 and is the oldest institution in the University of Texas System. With 40,916 undergraduate students, 11,075 ...

The Prokudin-Gorsky Collection
at the Library of Congress {{DEFAULTSORT:History Of Photography History of photography Audiovisual introductions in 1822
Photography Photography is the art, application, and practice of creating durable images by recording light, either electronically by means of an image sensor, or chemically by means of a light-sensitive material such as photographic film. It is emplo ...
Photography Photography is the art, application, and practice of creating durable images by recording light, either electronically by means of an image sensor, or chemically by means of a light-sensitive material such as photographic film. It is emplo ...
Photography Photography is the art, application, and practice of creating durable images by recording light, either electronically by means of an image sensor, or chemically by means of a light-sensitive material such as photographic film. It is emplo ...