HOME

TheInfoList



OR:

The PowerPC 400 family is a line of 32-bit embedded RISC processor cores based on the PowerPC or Power ISA instruction set architectures. The cores are designed to fit inside specialized applications ranging from system-on-a-chip (SoC) microcontrollers, network appliances,
application-specific integrated circuit An application-specific integrated circuit (ASIC ) is an integrated circuit (IC) chip customized for a particular use, rather than intended for general-purpose use, such as a chip designed to run in a digital voice recorder or a high-effici ...
s (ASICs) and field-programmable gate arrays (FPGAs) to
set-top box A set-top box (STB), also colloquially known as a cable box and historically television decoder, is an information appliance device that generally contains a TV-tuner input and displays output to a television set and an external source of s ...
es, storage devices and
supercomputer A supercomputer is a computer with a high level of performance as compared to a general-purpose computer. The performance of a supercomputer is commonly measured in floating-point operations per second ( FLOPS) instead of million instructio ...
s. Applied Micro Circuits Corporation (AMCC) bought assets concerning the 400 family cores from IBM in April 2004 for $227 million, and they now market the processors under their own name. IBM continues evolving the cores while supplying design and foundry services around the cores. Several cores are also available for licensing by OEMs from IBM and Synopsys.


Variants


PowerPC 403

Introduced in 1994, the PowerPC 403 was one of the first PowerPC processors. It was the first one targeted strictly to the embedded market. Compared to the other PowerPC processors of the era ( PowerPC 601, PowerPC 603 and PowerPC 604), it was at the very low end, lacking a memory management unit (MMU) or floating point unit (FPU), for instance. The core was offered for custom chips and in pre packaged versions, including versions with MMU, speeds ranging from 20 to 80 MHz. The PowerPC 403 is used in, among other appliances, thin clients,
set-top box A set-top box (STB), also colloquially known as a cable box and historically television decoder, is an information appliance device that generally contains a TV-tuner input and displays output to a television set and an external source of s ...
es, RAID-controllers,
network switch A network switch (also called switching hub, bridging hub, and, by the IEEE, MAC bridge) is networking hardware that connects devices on a computer network by using packet switching to receive and forward data to the destination device. A ...
es and printers. The first TiVo used a 54 MHz PowerPC 403GCX. AMCC acquired the design of 403 from IBM in 2004, but have chosen not to market it, instead focusing on the 405 and 440 cores.


PowerPC 401

While the 403 was popular, it was also too high performance and too costly for some applications, so in 1996 IBM released a bare bones PowerPC core, called PowerPC 401. It has a single issue, three-stage pipeline, with no MMU or DMA and only 2 KB instruction and 1 KB data L1 caches. The design contained just 85,000 transistors in all and operated at up to 100 MHz, drawing only 0.1 W or less. Applications using the 401 core range from set-top boxes and telecom switches to printers and fax machines.


PowerPC 405

The PowerPC 405 was released in 1998 and was designed for price or performance sensitive low-end embedded system-on-a-chip (SoC) designs. It has a five-stage pipeline, separate 16 KB instruction and data L1 caches, a CoreConnect bus, an Auxiliary Processing Unit (APU) interface for expandability and supports clock rates exceeding 400 MHz. The 405 core adheres to the Power ISA v.2.03 using the Book III-E specification. Both AMCC and IBM are developing and marketing processors using 405 cores. IBM and Synopsys also offers a fully synthesizable core. IBM has announced plans to make the specifications of the PowerPC 405 core freely available to the academic and research community. PowerPC-405-based applications include digital cameras, modems, set-top boxes (IBM's STB04xxx processors), cellphones, GPS-devices, printers, fax machines, network cards, network switches, storage devices and service processors for servers. Up to two 405 cores are used in Xilinx Virtex-II Pro and Virtex-4 FPGAs. In 2004
Hifn Hifn (styled ''Hi/fn'') was a semiconductor manufacturer founded in Carlsbad, California, in 1996 as a corporate spin-off from Stac Electronics. The company was later headquartered in Los Gatos, California, and had offices in North America, Europ ...
bought IBM's PowerNP network processors that uses 405 cores. ; :Later versions of the PlayStation 2 slim used a PowerPC 405 chip emulating the MIPS R3000A that was used as the I/O processor in earlier models. ; : The Chinese company Culturecom uses a 405 core for its V-Dragon processor which powers
Linux Linux ( or ) is a family of open-source Unix-like operating systems based on the Linux kernel, an operating system kernel first released on September 17, 1991, by Linus Torvalds. Linux is typically packaged as a Linux distribution, whi ...
terminals and set-top-boxes. The V-Dragon processor includes the Multilingual Character Generation Engine (MCGE) that processes and generates
Chinese character Chinese characters () are logograms developed for the writing of Chinese. In addition, they have been adapted to write other East Asian languages, and remain a key component of the Japanese writing system where they are known as ''kanji' ...
s directly in the CPU. ; APM801xx :
AppliedMicro Applied Micro Circuits Corporation (also known as AppliedMicro, AMCC or APM) was a fabless semiconductor company designing network and embedded Power ISA (including a Power ISA license), and server processor ARM (including an ARMv8-A license), ...
has a series of system on a chip products based on PowerPC 405 core, under a new name: APM801xx. These are the most energy efficient Power Architecture products to date (Fall 2010), and supports frequencies up to 800 MHz at ~1 W, or 0.3 W idling. Made to support a wide range of applications from networking, to storage and media devices. ; POWER8 on-chip controller : The POWER8 processor contains an embedded on-chip power and thermal management microcontroller, called on-chip controller (OCC). Based on a PowerPC 405 processor with 512 KB of dedicated static RAM (SRAM), OCC monitors the entire chip.


PowerPC 440

Introduced in 1999, the PowerPC 440 was the first PowerPC core from IBM to include the ''Book E'' extension to the PowerPC specification. It also included the
CoreConnect __NOTOC__ CoreConnect is a microprocessor bus-architecture from IBM for system-on-a-chip (SoC) designs. It was designed to ease the integration and reuse of processor, system, and peripheral cores within standard and custom SoC designs. As a stan ...
bus technology designed to be the interface between the parts inside a PowerPC based system-on-a-chip (SoC) device. It is a high-performance core with separate 32 KB instruction and data L1 caches, a seven-stage out-of-order dual-issue pipeline, supporting speeds of up to 800 MHz and L2 caches up to 256 KB. The core lacks a floating point unit (FPU) but it has an associated four-stage FPU that can be included using the APU (Auxiliary Processing Unit) interface. The 440 core adheres to the Power ISA v.2.03 using the Book III-E specification. Xilinx currently incorporates one or two cores (depending on the member of the family) into the Virtex-5 FXT FPGA. Both AMCC and IBM are developing and marketing stand alone processors using 440 cores. IBM and Synopsys also offers fully synthesized cores. ; BRE440 Rad Hard SOC : Broad Reach Engineering has used the IBM 440 synthesized core to build a radiation-hardened embedded SoC that includes various peripherals (two ethernet MACs, PCI, memory controllers, DMA controllers, EDAC and SIO), 32 KB of L1 cache, and 256 KB of L2 cache. This device was built using the Honeywell HX5000 rad hard process at 150 nm. The BRE440 runs at clock speeds ranging from tens of MHz up to 150 MHz. ; QCDOC :
QCDOC The QCDOC (quantum chromodynamics on a chip) is a supercomputer technology focusing on using relatively cheap low power processing elements to produce a massively parallel machine. The machine is custom-made to solve small but extremely demanding ...
is a custom supercomputer built to solve small but extremely computationally demanding problems in quantum physics. It uses custom 440-based ASICs to obtain a peak performance of approximately 10 TFLOPS. ; Blue Gene/L : Dual 440 cores are used in the processors powering IBM's Blue Gene/L
supercomputer A supercomputer is a computer with a high level of performance as compared to a general-purpose computer. The performance of a supercomputer is commonly measured in floating-point operations per second ( FLOPS) instead of million instructio ...
, which until June 2008 ranked number one on the list of the top 500 supercomputers around the world, with a peak performance of nearly 500 teraFLOPS in 2008. ; SeaStar : The 440 core is also used in the Cray XT3, XT4 and XT5 supercomputers, where its SeaStar, SeaStar2 and SeaStar2+ communication processors closely couples
HyperTransport HyperTransport (HT), formerly known as Lightning Data Transport, is a technology for interconnection of computer processors. It is a bidirectional serial/parallel high-bandwidth, low- latency point-to-point link that was introduced on April 2 ...
memory interface with routing to other nodes in supercomputer clusters. The SeaStar device provides a 6.4 GB/s connection to the Opteron based processors across HyperTransport (together making a processing element, PE), as well as six 7.6 GB/s links to neighboring PEs. SeaStar2+ offers 9.6 GB/s intra-node bandwidth and error correcting functionality to intercept errors en route between computing nodes. ; AMCC 460 : The PowerPC 460EX and 460GT from AMCC are, despite their name, processors with the 440 core. They are available at 0.6 to 1.2 GHz and have integrated controllers for DDR or DDR2 SDRAM, USB 2.0, PCIe, SATA, and Gigabit Ethernet. ; Titan : Intrinsity designed the now defunct Titan core for AppliedMicro from the ground up using the PowerPC 440 core spec. AppliedMicro used the Titan core in their APM832xx family high performance system on a chip products but these parts never came to market. ; Virtex-5 FXT : In its Virtex-5 FXT FPGA product line, Xilinx embeds up to two PPC440 cores. The embedded PPC440 has a maximum frequency of 550 MHz, and connects to the surrounding FPGA-fabric through a special crossbar switch, increasing the Virtex-5 FXT family's system performance over 2.6 times compared to the Virtex-4 FX family's embedded PPC405. ; LSI SAS : LSI uses the PowerPC 440 core in a number of its SAS controller chips, including the widely used SAS2008 variant. ; Acalis CPU872 : The Acalis CPU872 is a highly specialized Security SoC chip built b
CPU Tech
It is designed for highly sensitive and mission critical systems such as military applications. It has provisions to prevent tampering and reverse engineering and is manufactured at IBM's highly secure Trusted Foundry. It has embedded DRAM, dual 440 cores with dual precision FPUs and auxiliary computing units providing acceleration and protection for communications, complex algorithms and synchronization between cores.


PowerPC 450

The processing core of the Blue Gene/P supercomputer is designed and manufactured by IBM. It is very similar to the PowerPC 440 but few details are disclosed. ; Blue Gene/P : The Blue Gene/P processor consists of four PowerPC 450 cores running at 850 MHz reaching 13.6 gigaflops in total. IBM is claiming very power efficient design compared to other supercomputer processors.


PowerPC 460

Introduced in 2006, the 460 cores are similar to the 440 but reach 1.4 GHz, are developed with multi-core applications in mind and have 24 additional digital signal processing (DSP) instructions. The cores are designed to be low-power but high performance and the 464-H90 is expected to draw only 0.53 W at 1 GHz. The 460 core adheres to Power ISA v.2.03 using the Book III-E specification. * PowerPC 460S a completely synthesized core and can be licensed from IBM or Synopsys for manufacturing on different foundries. 460S can be configured with different amounts of L1 and L2 cache as well as with or without SMP and FPU. * PowerPC 464-H90 a 90 nm, hard core (not synthesizable), released in 2006, will offer a customizable core for ASICs that can be manufactured with IBM or at manufacturing facilities at Chartered or Samsung. * PowerPC 464FP-H90 released in 2007, is a hard core that adds a double precision floating point unit (FPU).


AppliedMicro

* PowerPC 460SX and 460GTx are based on the 464-H90 core. They are targeted towards very high-end storage and networking applications, respectively. They run at 0.8 to 1.2 GHz, have 512 KB of L2 cache that doubles as SRAM storage, a 400 MHz clock DDR2 memory controller, four Gigabit Ethernet controllers, PCIe controllers and a variety of application-specific accelerators and controller facilities. They are manufactured on a 90 nm process. * APM82181 a 0.8–1 GHz 464-based SoC designed for storage devices. DDR2-controller, 256 KB SRAM configurable as L2 cache. PCIe, SATA2, USB2, Gbit Ethernet and various other I/O interfaces and accelerators like TCP/IP offloading, and RAID5 and cryptography accelerators * APM86190 and APM86290 PACKETpro codenamed "Mamba", they are single and dual core SoC processors based on the PowerPC 465 core, running at 0.6-1.5 GHz. 32 KB instruction/32 KB data L1 caches and 256 KB L2 cache, DDR3 controller, PCIe, SATA2, USB2, Gbit Ethernet and various other I/O interfaces and accelerators like TCP/IP offloading and a cryptography accelerator with non-volatile storage for crypto keys and secure boot and tampering detection. * APM86791 PACKETpro codenamed "Keelback", it is a single core SoC processor based on the PowerPC 465 core running at 1 GHz with 32 KB instruction/32 KB data L1 caches and 256 KB L2 cache, DDR3 controller, 2x PCIe, 2x SATA2, 2x USB2, 4x Gbit Ethernet. It also incorporates an
ARM Cortex-M3 The ARM Cortex-M is a group of 32-bit RISC ARM processor cores licensed by Arm Holdings. These cores are optimized for low-cost and energy-efficient integrated circuits, which have been embedded in tens of billions of consumer devices. Though ...
based cryptography accelerator named SLIMpro running at 250 MHz that allows secure booting from ROM and tempering detection.


PowerPC 470

The 470 embedded and customizable core, adhering to the Power ISA v2.05 Book III-E, was designed by IBM together with LSI and implemented in the PowerPC 476FP in 2009. The 476FP core has 32/32 kB L1 cache, dual integer units and a SIMD capable double precision FPU that handles DSP instructions. Emitting 1.6 W at 1.6 GHz on a 45 nm fabrication process. The 9 stage out of order, 5-issue pipeline handles speeds up to 2 GHz, supports the PLB6 bus, up to 1 MB L2 cache and up to 16 cores in SMP configurations. * LSI Axxia ACP3448 1.8 GHz, 4× 476FP cores, 512 kB L2 cache per core, 4 MB L3 cache on chip, 2× DDR3 controllers, 2× 10 Gbit Ethernet, 3× PCIe, and a variety of network-processing engines * C*Core C1000 a family of 476FP-core-based embedded processors for consumer electronics * ChinaChip CC2000 a 476FP-core-based processor with integrated DSP and GPU for game consoles *
NTC Module NTC Module (Research Center "Module") is a Russian scientific technological center (R&D production enterprise), founded in 1990 by the two enterprises of Russian military–industrial complex: NPO Vympel and NII Radiopriborostroyeniye. Conduct ...
1888TKh018 SoC for aircraft onboard video and multimedia processing systems


See also

*
Power.org Power.org was an organization to develop and promote Power Architecture technology by establishing its open standards, guidelines, best practices, and certifications. Power.org was founded in 2004 by IBM and 15 other companies. Freescale (later ...
*
CoreConnect __NOTOC__ CoreConnect is a microprocessor bus-architecture from IBM for system-on-a-chip (SoC) designs. It was designed to ease the integration and reuse of processor, system, and peripheral cores within standard and custom SoC designs. As a stan ...


References

{{Reflist, 40em


Further reading

* Halfhill, Tom R. (25 October 1999). "IBM PowerPC 440 Hits 1,000 MIPS". '' Microprocessor Report''. * Halfhill, Tom R. (21 July 2000). "PowerPC 440GP: Great Communicator". '' Microprocessor Report''.


External links


405 core

IBM PowerPC 440 core

IBM PowerPC 460 core

AMCC PowerPC 400 page
400 400 400 Power microprocessors