ModelSim
   HOME

TheInfoList



OR:

ModelSim is a multi-language environment by
Siemens Siemens AG ( ) is a German multinational conglomerate corporation and the largest industrial manufacturing company in Europe headquartered in Munich with branch offices abroad. The principal divisions of the corporation are ''Industry'', '' ...
(previously developed by
Mentor Graphics Siemens EDA is a US-based electronic design automation (EDA) multinational corporation for electrical engineering and electronics, headquartered in Wilsonville, Oregon. Founded in 1981 as Mentor Graphics, the company was acquired by Siemens in ...
,) for simulation of
hardware description language In computer engineering, a hardware description language (HDL) is a specialized computer language used to describe the structure and behavior of electronic circuits, and most commonly, digital logic circuits. A hardware description language e ...
s such as
VHDL The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates ...
,
Verilog Verilog, standardized as IEEE 1364, is a hardware description language (HDL) used to model electronic systems. It is most commonly used in the design and verification of digital circuits at the register-transfer level of abstraction. It is also ...
and SystemC, and includes a built-in C debugger.Reconfigurable Embedded Control Systems
Idea Group Inc (IGI), 30-Nov-2010
ModelSim can be used independently, or in conjunction with
Intel Quartus Prime Intel Quartus Prime is programmable logic device design software produced by Intel; prior to Intel's acquisition of Altera the tool was called Altera Quartus Prime, earlier Altera Quartus II. Quartus Prime enables analysis and synthesis of HD ...
, PSIM,
Xilinx ISE Xilinx ISE 100728 xilinx.com (Integrated Synthesis Environment)Xilinx Vivado Vivado Design Suite is a software suite produced by Xilinx for synthesis and analysis of hardware description language (HDL) designs, superseding Xilinx ISE with additional features for system on a chip development and high-level synthesis. Vivad ...
.Digital Hardware Design
Laxmi Publications, Ltd., 01-Sep-2008
Simulation is performed using the
graphical user interface The GUI ( "UI" by itself is still usually pronounced . or ), graphical user interface, is a form of user interface that allows users to interact with electronic devices through graphical icons and audio indicator such as primary notation, inste ...
(GUI), or automatically using scripts.Synthesizable VHDL Design for FPGAs
Springer Science & Business Media, 21-Oct-2013


Editions

Mentor HDL simulation products are offered in multiple editions, such as ModelSim PE and Questa Sim. Questa Sim offers high-performance and advanced debugging capabilities, while ModelSim PE is the entry-level simulator for hobbyists and students.Handbook of Digital Techniques for High-Speed Design
Pearson Education India, 01-Sep-2007
Questa Sim is used in large multi-million gate designs, and is supported on
Microsoft Windows Windows is a group of several proprietary graphical operating system families developed and marketed by Microsoft. Each family caters to a certain sector of the computing industry. For example, Windows NT for consumers, Windows Server for serv ...
and Linux, in 32-bit and 64-bit architectures. ModelSim can also be used with
MATLAB MATLAB (an abbreviation of "MATrix LABoratory") is a proprietary multi-paradigm programming language and numeric computing environment developed by MathWorks. MATLAB allows matrix manipulations, plotting of functions and data, implementation ...
/
Simulink Simulink is a MATLAB-based graphical programming environment for modeling, simulating and analyzing multidomain dynamical systems. Its primary interface is a graphical block diagramming tool and a customizable set of block libraries. It offers t ...
, using ''Link for ModelSim''.Industrial Control Technology
William Andrew, 12-Aug-2008
Recent Advances in Modeling and Simulation Tools for Communication Networks and Services
Springer Science & Business Media, 20-Sep-2007
''Link for ModelSim'' is a fast bidirectional co-simulation interface between Simulink and ModelSim. For such designs, MATLAB provides a numerical simulation toolset, while ModelSim provides tools to verify the hardware implementation & timing characteristics of the design.


Language support

ModelSim uses a unified kernel for simulation of all supported languages, and the method of debugging embedded C code is the same as VHDL or Verilog. ModelSim and Questa Sim products enable simulation, verification and debugging for the following languages: *
VHDL The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates ...
*
Verilog Verilog, standardized as IEEE 1364, is a hardware description language (HDL) used to model electronic systems. It is most commonly used in the design and verification of digital circuits at the register-transfer level of abstraction. It is also ...
*
Verilog Verilog, standardized as IEEE 1364, is a hardware description language (HDL) used to model electronic systems. It is most commonly used in the design and verification of digital circuits at the register-transfer level of abstraction. It is also ...
2001 *
SystemVerilog SystemVerilog, standardized as IEEE 1800, is a hardware description and hardware verification language used to model, design, simulate, test and implement electronic systems. SystemVerilog is based on Verilog and some extensions, and since 200 ...
* PSL * SystemC


See also

*
Intel Quartus Prime Intel Quartus Prime is programmable logic device design software produced by Intel; prior to Intel's acquisition of Altera the tool was called Altera Quartus Prime, earlier Altera Quartus II. Quartus Prime enables analysis and synthesis of HD ...
*
Icarus Verilog Icarus Verilog is an implementation of the Verilog hardware description language compiler that generates netlists in the desired format ( EDIF). It supports the 1995, 2001 and 2005 versions of the standard, portions of SystemVerilog, and some exte ...
*
List of HDL simulators HDL simulators are software packages that simulate expressions written in one of the hardware description languages, such as VHDL, Verilog, SystemVerilog. This page is intended to list current and historical HDL simulators, accelerators, emul ...
*
NCSim Incisive is a suite of tools from Cadence Design Systems related to the design and verification of ASICs, SoCs, and FPGAs. ''Incisive'' is commonly referred to by the name NCSim in reference to the core simulation engine. In the late 1990s, th ...
*
Verilator Verilator is a free and open-source software tool which converts Verilog (a hardware description language) to a cycle-accurate behavioral model in C++ or SystemC. The generated models are cycle-accurate and 2-state; as a consequence, the model ...
*
Xilinx ISE Xilinx ISE 100728 xilinx.com (Integrated Synthesis Environment)Xilinx Vivado Vivado Design Suite is a software suite produced by Xilinx for synthesis and analysis of hardware description language (HDL) designs, superseding Xilinx ISE with additional features for system on a chip development and high-level synthesis. Vivad ...


References


External links

* {{Programmable Logic Computer-aided design software Electronic design automation software Digital electronics