HOME

TheInfoList



OR:

A mixed-signal integrated circuit is any
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
that has both analog circuits and
digital circuit In theoretical computer science, a circuit is a model of computation in which input values proceed through a sequence of gates, each of which computes a function. Circuits of this kind provide a generalization of Boolean circuits and a mathemati ...
s on a single semiconductor die."ESS Mixed Signal Circuits"
Their usage has grown dramatically with the increased use of cell phones,
telecommunications Telecommunication is the transmission of information by various types of technologies over wire, radio, optical, or other electromagnetic systems. It has its origin in the desire of humans for communication over a distance greater than that ...
, portable electronics, and automobiles with electronics and digital sensors.


Overview

Integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
s (ICs) are generally classified as digital (e.g. a
microprocessor A microprocessor is a computer processor where the data processing logic and control is included on a single integrated circuit, or a small number of integrated circuits. The microprocessor contains the arithmetic, logic, and control circ ...
) or analog (e.g. an operational amplifier). Mixed-signal ICs contain both digital and analog circuitry on the same chip, and sometimes embedded software. Mixed-signal ICs process both analog and digital signals together. For example, an analog-to-digital converter (ADC) is a typical mixed-signal circuit. Mixed-signal ICs are often used to convert analog signals to digital signals so that digital devices can process them. For example, mixed-signal ICs are essential components for FM tuners in digital products such as media players, which have digital amplifiers. Any analog signal can be digitized using a very basic ADC, and the smallest and most energy efficient of these are mixed-signal ICs. Mixed-signal ICs are more difficult to design and manufacture than analog-only or digital-only integrated circuits. For example, an efficient mixed-signal IC may have its digital and analog components share a common power supply. However, analog and digital components have very different power needs and consumption characteristics, which makes this a non-trivial goal in chip design. Mixed-signal functionality involves both traditional active elements (like
transistors upright=1.4, gate (G), body (B), source (S) and drain (D) terminals. The gate is separated from the body by an insulating layer (pink). A transistor is a semiconductor device used to Electronic amplifier, amplify or electronic switch, switch ...
) and well-performing passive elements (like coils,
capacitors A capacitor is a device that stores electrical energy in an electric field by virtue of accumulating electric charges on two close surfaces insulated from each other. It is a passive electronic component with two terminals. The effect of a ...
, and
resistors A resistor is a passive two-terminal electrical component that implements electrical resistance as a circuit element. In electronic circuits, resistors are used to reduce current flow, adjust signal levels, to divide voltages, bias active e ...
) on the same chip. This requires additional modelling understanding and options from manufacturing technologies. High voltage transistors might be needed in the power management functions on a chip with digital functionality, possibly with a low-power
CMOS Complementary metal–oxide–semiconductor (CMOS, pronounced "sea-moss", ) is a type of metal–oxide–semiconductor field-effect transistor (MOSFET) fabrication process that uses complementary and symmetrical pairs of p-type and n-type MOSF ...
processor system. Some advanced mixed-signal technologies may enable combining analog sensor elements (like pressure sensors or imaging diodes) on the same chip with an ADC. Typically, mixed-signal ICs do not necessarily need the fastest digital performance. Instead, they need more mature models of active and passive elements for more accurate simulations and verification, such as for testability planning and reliability estimations. Therefore, mixed-signal circuits are typically realized with larger line widths than the highest speed and densest digital logic, and the implementation technologies can be two to four
generations A generation is "all of the people born and living at about the same time, regarded collectively." Generation or generations may also refer to: Science and technology * Generation (particle physics), a division of the elementary particles * Gen ...
behind the latest digital-only implementation technologies. Additionally, mixed signal processing may need passive elements like resistors, capacitors, and coils, which may require specialized metal, dielectric layers, or similar adaptations of standard fabrication processes. Because of these specific requirements, mixed-signal ICs and digital ICs can have different manufacturers (known as foundries).


Applications

There are numerous applications of mixed-signal integrated circuits, such as in
mobile phone A mobile phone, cellular phone, cell phone, cellphone, handphone, hand phone or pocket phone, sometimes shortened to simply mobile, cell, or just phone, is a portable telephone that can make and receive calls over a radio frequency link whi ...
s, modern
radio Radio is the technology of signaling and communicating using radio waves. Radio waves are electromagnetic waves of frequency between 30  hertz (Hz) and 300  gigahertz (GHz). They are generated by an electronic device called a tr ...
and
telecommunication Telecommunication is the transmission of information by various types of technologies over wire, radio, optical, or other electromagnetic systems. It has its origin in the desire of humans for communication over a distance greater than that ...
systems, sensor systems with on-chip standardized digital interfaces (including I2C, UART, SPI, or CAN), voice-related signal processing, aerospace and space electronics, the Internet of things (IoT),
unmanned aerial vehicle An unmanned aerial vehicle (UAV), commonly known as a drone, is an aircraft without any human pilot, crew, or passengers on board. UAVs are a component of an unmanned aircraft system (UAS), which includes adding a ground-based controll ...
s (UAVs), and automotive and other electrical vehicles. Mixed-signal circuits or systems are typically cost-effective solutions, such as for building modern
consumer electronics Consumer electronics or home electronics are electronic ( analog or digital) equipment intended for everyday use, typically in private homes. Consumer electronics include devices used for entertainment, communications and recreation. Usuall ...
and in industrial, medical, measurement, and space applications. Examples of mixed-signal integrated circuits include data converters using delta-sigma modulation, analog-to-digital converters and digital-to-analog converters using
error detection and correction In information theory and coding theory with applications in computer science and telecommunication, error detection and correction (EDAC) or error control are techniques that enable reliable delivery of digital data over unreliable commu ...
, and digital radio chips. Digitally controlled sound chips are also mixed-signal circuits. With the advent of cellular and network technology, this category now includes
cellular telephone A mobile phone, cellular phone, cell phone, cellphone, handphone, hand phone or pocket phone, sometimes shortened to simply mobile, cell, or just phone, is a portable telephone that can make and receive calls over a radio frequency link while ...
,
software radio Software-defined radio (SDR) is a radio communication system where components that have been traditionally implemented in analog hardware (e.g. mixers, filters, amplifiers, modulators/demodulators, detectors, etc.) are instead implemented by ...
, and
LAN Lan or LAN may also refer to: Science and technology * Local asymptotic normality, a fundamental property of regular models in statistics * Longitude of the ascending node, one of the orbital elements used to specify the orbit of an object in spa ...
and WAN router integrated circuits.


Design and development

Typically, mixed-signal chips perform some whole function or sub-function in a larger assembly, such as the radio subsystem of a
cell phone A mobile phone, cellular phone, cell phone, cellphone, handphone, hand phone or pocket phone, sometimes shortened to simply mobile, cell, or just phone, is a portable telephone that can make and receive telephone call, calls over a radio freq ...
, or the read data path and laser
SLED A sled, skid, sledge, or sleigh is a land vehicle that slides across a surface, usually of ice or snow. It is built with either a smooth underside or a separate body supported by two or more smooth, relatively narrow, longitudinal runners ...
control logic Control logic is a key part of a software program that controls the operations of the program. The control logic responds to commands from the user, and it also acts on its own to perform automated tasks that have been structured into the program. ...
of a DVD player. Mixed-signal ICs often contain an entire system-on-a-chip. They may also contain on-chip memory blocks (like OTP), which complicates the manufacturing compared to analog ICs. A mixed-signal IC minimizes off-chip interconnects between digital and analog functionality in the system—typically reducing size and weight due to minimized packaging and a smaller module substrate—and therefore increases the reliability of the system. Because of the use of both digital signal processing and analog circuitry, mixed-signal ICs are usually designed for a very specific purpose. Their design requires a high level of expertise and careful use of computer aided design (CAD) tools. There also exists specific design tools (like mixed-signal simulators) or description languages (like VHDL-AMS). Automated testing of the finished chips can also be challenging. Teradyne,
Keysight Keysight Technologies, or Keysight, is an American company that manufactures electronics test and measurement equipment and software. The name is a blend of ''key'' and ''insight''. The company was formed as a spin-off of Agilent Technologies, w ...
, and
Advantest is a Japanese leading manufacturer of automatic test equipment (ATE) for the semiconductor industry, and a manufacturer of measuring instruments used in the design, production and maintenance of electronic systems including fiber optic and wir ...
are the major suppliers of the test equipment for mixed-signal chips. There are several particular challenges of mixed-signal circuit manufacturing: *
CMOS Complementary metal–oxide–semiconductor (CMOS, pronounced "sea-moss", ) is a type of metal–oxide–semiconductor field-effect transistor (MOSFET) fabrication process that uses complementary and symmetrical pairs of p-type and n-type MOSF ...
technology is usually optimal for digital performance, while bipolar junction transistors are usually optimal for analog performance. However, until the last decade, it was difficult to combine these cost-effectively or to design both in a single technology without serious performance compromises. The advent of technologies like high performance
CMOS Complementary metal–oxide–semiconductor (CMOS, pronounced "sea-moss", ) is a type of metal–oxide–semiconductor field-effect transistor (MOSFET) fabrication process that uses complementary and symmetrical pairs of p-type and n-type MOSF ...
, BiCMOS, CMOS SOI, and SiGe have removed many of these former compromises. * Testing functional operation of mixed-signal ICs remains complex, expensive, and often is a "one-off" implementation task (meaning a lot of work is necessary for a product with a single, specific use). * Systematic design methods of analog and mixed-signal circuits are far more primitive than digital circuits. In general, analog circuit design cannot be automated to nearly the extent that digital circuit design can. Combining the two technologies multiplies this complication. * Fast-changing digital signals send noise to sensitive analog inputs. One path for this noise is substrate coupling. A variety of techniques are used to attempt to block or cancel this noise coupling, such as fully differential amplifiers, P+ guard-rings, differential topology, on-chip decoupling, and triple-well isolation.


Variations

Mixed-signal devices are available as standard parts, but sometimes custom-designed
application-specific integrated circuit An application-specific integrated circuit (ASIC ) is an integrated circuit (IC) chip customized for a particular use, rather than intended for general-purpose use, such as a chip designed to run in a digital voice recorder or a high-effici ...
s (ASICs) are necessary. ASICs are designed for new applications, when new standards emerge, or when new energy source(s) are implemented in the system. Due to their specialization, ASICs are usually only developed when production volumes are estimated to be high. The availability of ready-and-tested analog- and mixed-signal IP blocks from foundries or dedicated design houses has lowered the gap to realize mixed-signal ASICs. There also exist mixed-signal
field-programmable gate array A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturinghence the term ''Field-programmability, field-programmable''. The FPGA configuration is generally specifi ...
s (FPGAs) and microcontrollers. In these, the same chip that handles digital logic may contain mixed-signal structures like analog-to-digital and digital-to-analog converter(s), operational amplifiers, or wireless connectivity blocks. These mixed-signal FPGAs and microcontrollers are bridging the gap between standard mixed-signal devices, full-custom ASICs, and embedded software; they offer a solution during product development or when product volume is too low to justify an ASIC. However, they can have performance limitations, such as the resolution of the analog-to-digital converters, the speed of digital-to-analog conversion, or a limited number of inputs and outputs. Nevertheless, they can speed up the system architecture design, prototyping, and even production (at small and medium scales). Their usage also can be supported with development boards, development community, and possibly software support.


History


MOS switched-capacitor circuits

The metal–oxide–semiconductor field-effect transistor (MOSFET, or MOS transistor) was invented by Mohamed M. Atalla and Dawon Kahng at Bell Telephone Laboratories in 1959, and the MOS integrated circuit (MOS IC) chip was proposed soon after. However, MOS technology was initially overlooked by Bell because they did not find it practical for analog
telephone A telephone is a telecommunications device that permits two or more users to conduct a conversation when they are too far apart to be easily heard directly. A telephone converts sound, typically and most efficiently the human voice, into e ...
applications, before it was commercialized by Fairchild and RCA for
digital electronics Digital electronics is a field of electronics involving the study of digital signals and the engineering of devices that use or produce them. This is in contrast to analog electronics and analog signals. Digital electronic circuits are usu ...
such as computers. MOS technology eventually became practical for
telephony Telephony ( ) is the field of technology involving the development, application, and deployment of telecommunication services for the purpose of electronic transmission of voice, fax, or data, between distant parties. The history of telephony is i ...
applications with the MOS mixed-signal
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
, which combines analog and
digital signal processing Digital signal processing (DSP) is the use of digital processing, such as by computers or more specialized digital signal processors, to perform a wide variety of signal processing operations. The digital signals processed in this manner are ...
on a single chip, developed by former Bell engineer David A. Hodges with Paul R. Gray at UC Berkeley in the early 1970s. In 1974, Hodges and Gray worked with R.E. Suarez to develop MOS
switched capacitor A switched capacitor (SC) is an electronic circuit that implements a function by moving charges into and out of capacitors when electronic switches are opened and closed. Usually, non-overlapping clock signals are used to control the switches, s ...
(SC) circuit technology, which they used to develop a digital-to-analog converter (DAC) chip, using MOS capacitors and MOSFET switches for data conversion. MOS analog-to-digital converter (ADC) and DAC chips were commercialized by 1974. MOS SC circuits led to the development of
pulse-code modulation Pulse-code modulation (PCM) is a method used to digitally represent sampled analog signals. It is the standard form of digital audio in computers, compact discs, digital telephony and other digital audio applications. In a PCM stream, the ...
(PCM) codec-filter chips in the late 1970s. The silicon-gate
CMOS Complementary metal–oxide–semiconductor (CMOS, pronounced "sea-moss", ) is a type of metal–oxide–semiconductor field-effect transistor (MOSFET) fabrication process that uses complementary and symmetrical pairs of p-type and n-type MOSF ...
(complementary MOS) PCM codec-filter chip, developed by Hodges and W.C. Black in 1980, has since been the industry standard for digital telephony. By the 1990s, telecommunication networks such as the
public switched telephone network The public switched telephone network (PSTN) provides infrastructure and services for public telecommunication. The PSTN is the aggregate of the world's circuit-switched telephone networks that are operated by national, regional, or local telep ...
(PSTN) had been largely digitized with very-large-scale integration (VLSI) CMOS PCM codec-filters, widely used in electronic switching systems for telephone exchanges,
private branch exchange A business telephone system is a multiline telephone system typically used in business environments, encompassing systems ranging in technology from the key telephone system (KTS) to the private branch exchange (PBX). A business telephone syst ...
s (PBX), and key telephone systems (KTS); user-end
modems A modulator-demodulator or modem is a computer hardware device that converts data from a digital format into a format suitable for an analog transmission medium such as telephone or radio. A modem transmits data by modulating one or more carr ...
;
data transmission Data transmission and data reception or, more broadly, data communication or digital communications is the transfer and reception of data in the form of a digital bitstream or a digitized analog signal transmitted over a point-to-point or ...
applications such as digital loop carriers, pair gain multiplexers, telephone loop extenders, integrated services digital network (ISDN) terminals, digital
cordless telephones A cordless telephone or portable telephone has a portable telephone handset that connects by radio to a base station connected to the public telephone network. The operational range is limited, usually to the same building or within some short ...
, and digital cell phones; and applications such as
speech recognition Speech recognition is an interdisciplinary subfield of computer science and computational linguistics that develops methodologies and technologies that enable the recognition and translation of spoken language into text by computers with the ...
equipment, voice
data storage Data storage is the recording (storing) of information (data) in a storage medium. Handwriting, phonographic recording, magnetic tape, and optical discs are all examples of storage media. Biological molecules such as RNA and DNA are consi ...
, voice mail, and digital tapeless answering machines. The bandwidth of digital telecommunication networks has been rapidly increasing at an exponential rate, as observed by Edholm's law, largely driven by the rapid scaling and
miniaturization Miniaturization ( Br.Eng.: ''Miniaturisation'') is the trend to manufacture ever smaller mechanical, optical and electronic products and devices. Examples include miniaturization of mobile phones, computers and vehicle engine downsizing. In el ...
of MOS technology.


RF CMOS circuits

While working at
Bell Labs Nokia Bell Labs, originally named Bell Telephone Laboratories (1925–1984), then AT&T Bell Laboratories (1984–1996) and Bell Labs Innovations (1996–2007), is an American industrial research and scientific development company owned by mul ...
in the early 1980s, Pakistani engineer Asad Abidi worked on the development of sub-micron
MOSFET The metal–oxide–semiconductor field-effect transistor (MOSFET, MOS-FET, or MOS FET) is a type of field-effect transistor (FET), most commonly fabricated by the controlled oxidation of silicon. It has an insulated gate, the voltage of which d ...
(metal–oxide–semiconductor field-effect transistor) VLSI (very large-scale integration) technology at the Advanced LSI Development Lab, along with Marty Lepselter, George E. Smith, and Harry Bol. As one of the few
circuit design The process of circuit design can cover systems ranging from complex electronic systems down to the individual transistors within an integrated circuit. One person can often do the design process without needing a planned or structured design ...
ers at the lab, Abidi demonstrated the potential of sub-micron NMOS
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
technology in high-speed
communication circuit A telecommunication circuit is a path in a telecommunications network used to transmit information. Circuits have evolved over time from generally being built on physical connections between individual hardware cables, as in an analog phone swit ...
s, and developed the first
MOS MOS or Mos may refer to: Technology * MOSFET (metal–oxide–semiconductor field-effect transistor), also known as the MOS transistor * Mathematical Optimization Society * Model output statistics, a weather-forecasting technique * MOS (fil ...
amplifiers An amplifier, electronic amplifier or (informally) amp is an electronic device that can increase the magnitude of a signal (a time-varying voltage or current). It may increase the power significantly, or its main effect may be to boost th ...
for
Gb/s In telecommunications, data-transfer rate is the average number of bits (bitrate), characters or symbols (baudrate), or data blocks per unit time passing through a communication link in a data-transmission system. Common data rate units are multi ...
data rates in
optical fiber An optical fiber, or optical fibre in Commonwealth English, is a flexible, transparent fiber made by drawing glass ( silica) or plastic to a diameter slightly thicker than that of a human hair Hair is a protein filament that grows ...
receivers. Abidi's work was initially met with skepticism from proponents of
gallium arsenide Gallium arsenide (GaAs) is a III-V direct band gap semiconductor with a zinc blende crystal structure. Gallium arsenide is used in the manufacture of devices such as microwave frequency integrated circuits, monolithic microwave integrated c ...
and bipolar junction transistors, the dominant technologies for high-speed circuits at the time. In 1985, he joined
UCLA The University of California, Los Angeles (UCLA) is a public land-grant research university in Los Angeles, California. UCLA's academic roots were established in 1881 as a teachers college then known as the southern branch of the California ...
, where he pioneered RF CMOS technology in the late 1980s. His work changed the way in which radio-frequency (RF) circuits would be designed, away from discrete bipolar transistors and towards CMOS
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
s. Abidi was researching analog
CMOS Complementary metal–oxide–semiconductor (CMOS, pronounced "sea-moss", ) is a type of metal–oxide–semiconductor field-effect transistor (MOSFET) fabrication process that uses complementary and symmetrical pairs of p-type and n-type MOSF ...
circuits for
signal processing Signal processing is an electrical engineering subfield that focuses on analyzing, modifying and synthesizing '' signals'', such as sound, images, and scientific measurements. Signal processing techniques are used to optimize transmissions, ...
and
communications Communication (from la, communicare, meaning "to share" or "to be in relation with") is usually defined as the transmission of information. The term may also refer to the message communicated through such transmissions or the field of inquir ...
during the late 1980s to early 1990s. In the mid-1990s, the RF CMOS technology that he pioneered was widely adopted in wireless networking, as
mobile phones A mobile phone, cellular phone, cell phone, cellphone, handphone, hand phone or pocket phone, sometimes shortened to simply mobile, cell, or just phone, is a portable telephone that can make and receive calls over a radio frequency link while ...
began entering widespread use. As of 2008, the radio transceivers in all wireless networking devices and modern mobile phones are mass-produced as RF CMOS devices. The baseband processors and radio transceivers in all modern wireless networking devices and
mobile phones A mobile phone, cellular phone, cell phone, cellphone, handphone, hand phone or pocket phone, sometimes shortened to simply mobile, cell, or just phone, is a portable telephone that can make and receive calls over a radio frequency link while ...
are mass-produced using RF CMOS devices. RF CMOS circuits are widely used to transmit and receive wireless signals in a variety of applications, such as
satellite A satellite or artificial satellite is an object intentionally placed into orbit in outer space. Except for passive satellites, most satellites have an electricity generation system for equipment on board, such as solar panels or radioiso ...
technology (such as GPS),
Bluetooth Bluetooth is a short-range wireless technology standard that is used for exchanging data between fixed and mobile devices over short distances and building personal area networks (PANs). In the most widely used mode, transmission power is limi ...
,
Wi-Fi Wi-Fi () is a family of wireless network protocols, based on the IEEE 802.11 family of standards, which are commonly used for local area networking of devices and Internet access, allowing nearby digital devices to exchange data by radio waves ...
, near-field communication (NFC), mobile networks (such as 3G, 4G, and 5G), terrestrial
broadcast Broadcasting is the distribution of audio or video content to a dispersed audience via any electronic mass communications medium, but typically one using the electromagnetic spectrum (radio waves), in a one-to-many model. Broadcasting began wi ...
, and automotive
radar Radar is a detection system that uses radio waves to determine the distance (''ranging''), angle, and radial velocity of objects relative to the site. It can be used to detect aircraft, Marine radar, ships, spacecraft, guided missiles, motor v ...
applications, among other uses. RF CMOS technology is crucial to modern wireless communications, including wireless networks and mobile communication devices.


Commercial examples

* Examples of mixed-signal design houses and resources: *
AnSem
*
CoreHW
*
EnSilica
*
ICsense
*
Presto Engineering
*
System to ASIC
*
Triad Semiconductor
* Examples of mixed signal FPGAs and microcontrollers: **
Analog Devices Analog Devices, Inc. (ADI), also known simply as Analog, is an American multinational semiconductor company specializing in data conversion, signal processing and power management technology, headquartered in Wilmington, Massachusetts. The ...
CM4xx Mixed-Signal Control Processors *
Fusion FPGA
(from Microsemi, now part of Microchip Technology) ** Cypress PSoC – "programmable system on chip", a product from Infineon Technologies (former Cypress Semiconductor) **
Texas Instruments Texas Instruments Incorporated (TI) is an American technology company headquartered in Dallas, Texas, that designs and manufactures semiconductors and various integrated circuits, which it sells to electronics designers and manufacturers globa ...
' MSP430 *
Xilinx mixed signal FPGA
* Examples of mixed signal foundries: ** GlobalFoundries ** New Japan Radio ** Tower Semiconductor Ltd ** X-Fab * List of sound chips ** Yamaha FM synthesis sound chips **
Atari POKEY POKEY, an acronym for Pot Keyboard Integrated Circuit, is a digital I/O chip designed by Doug Neubauer at Atari, Inc. for the Atari 8-bit family of home computers. It was first released with the Atari 400 and Atari 800 in 1979 and is included i ...
** MOS Technology SID


See also

*
Analog front-end An analog front-end (AFE or analog front-end controller AFEC) is a set of analog signal conditioning circuitry that uses sensitive analog amplifiers, often operational amplifiers, filters, and sometimes application-specific integrated circuits f ...
*
RFIC RFIC is an abbreviation of radio-frequency integrated circuit. Applications for RFICs include radar and communications, although the term RFIC might be applied to any electrical integrated circuit operating in a frequency range suitable for wireless ...


Notes


References


Further reading

* * http://CMOSedu.com/ {{DEFAULTSORT:Mixed-Signal Integrated Circuit Electronic design