HOME

TheInfoList



OR:

Extreme ultraviolet lithography (also known as EUV or EUVL) is an optical
lithography Lithography () is a planographic method of printing originally based on the immiscibility of oil and water. The printing is from a stone (lithographic limestone) or a metal plate with a smooth surface. It was invented in 1796 by the German a ...
technology used in
stepper A stepper is a device used in the manufacture of integrated circuits (ICs) that is similar in operation to a slide projector or a photographic enlarger. ''Stepper'' is short for step-and-repeat camera. Steppers are an essential part of the comp ...
s, machines that make
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
s (ICs) for
computer A computer is a machine that can be programmed to carry out sequences of arithmetic or logical operations ( computation) automatically. Modern digital electronic computers can perform generic sets of operations known as programs. These prog ...
s and other electronic devices. It uses a range of
extreme ultraviolet Extreme ultraviolet radiation (EUV or XUV) or high-energy ultraviolet radiation is electromagnetic radiation in the part of the electromagnetic spectrum spanning wavelengths from 124  nm down to 10 nm, and therefore (by the Planck–E ...
(EUV) wavelengths, roughly spanning a 2% FWHM bandwidth about 13.5  nm, to produce a pattern by exposing reflective photomask to UV light which gets reflected onto a substrate covered by
photoresist A photoresist (also known simply as a resist) is a light-sensitive material used in several processes, such as photolithography and photoengraving, to form a patterned coating on a surface. This process is crucial in the electronic industry. ...
. It is widely applied in
semiconductor device fabrication Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are ...
process. As of 2022,
ASML Holding ASML Holding N.V. (commonly shortened to ASML, originally standing for Advanced Semiconductor Materials Lithography) is a Dutch multinational corporation founded in 1984. ASML specializes in the development and manufacturing of photolithograph ...
is the only company who produces and sells EUV systems for chip production, targeting 5 nm and 3 nm. At the 2019 International Electron Devices Meeting (IEDM), TSMC reported use of EUV for 5 nm in contact, via, metal line, and cut layers, where the cuts can be applied to fins, gates or metal lines. At IEDM 2020, TSMC reported their 5 nm minimum metal pitch to be reduced 30% from that of 7 nm, which was 40 nm. Samsung's 5 nm is lithographically the same design rule as 7 nm, with a minimum metal pitch of 36 nm.


History

In the 1960s, visible light was used for IC-production, with wavelengths as small as 435 nm (mercury "g line"). Later UV light was used, with wavelength of at first 365nm (mercury "i line"), then excimer wavelengths first of 248 nm ( krypton fluoride laser) and then 193 nm ( argon fluoride laser), which was called
deep UV Ultraviolet (UV) is a form of electromagnetic radiation with wavelength from 10 nm (with a corresponding frequency around 30  PHz) to 400 nm (750  THz), shorter than that of visible light, but longer than X-rays. UV radiation i ...
. The next step, going even smaller, was dubbed Extreme UV or EUV. The EUV technology was considered impossible by many. EUV is absorbed by glass and even air, so instead of using lenses, as before, to focus the beams of light, mirrors in a vacuum would be needed and a reliable production of EUV was also problematic. The then leading producers of steppers,
Japan Japan ( ja, 日本, or , and formally , ''Nihonkoku'') is an island country in East Asia. It is situated in the northwest Pacific Ocean, and is bordered on the west by the Sea of Japan, while extending from the Sea of Okhotsk in the n ...
ese companies
Canon Canon or Canons may refer to: Arts and entertainment * Canon (fiction), the conceptual material accepted as official in a fictional universe by its fan base * Literary canon, an accepted body of works considered as high culture ** Western ca ...
and
Nikon (, ; ), also known just as Nikon, is a Japanese multinational corporation headquartered in Tokyo, Japan, specializing in optics and imaging products. The companies held by Nikon form the Nikon Group. Nikon's products include cameras, camera ...
gave up trying. And some even predicted the end of
Moore's law Moore's law is the observation that the number of transistors in a dense integrated circuit (IC) doubles about every two years. Moore's law is an observation and projection of a historical trend. Rather than a law of physics, it is an empi ...
. But in 2018
Dutch Dutch commonly refers to: * Something of, from, or related to the Netherlands * Dutch people () * Dutch language () Dutch may also refer to: Places * Dutch, West Virginia, a community in the United States * Pennsylvania Dutch Country People E ...
company ASML finally succeeded after 2 decades of research. This led
MIT Technology Review ''MIT Technology Review'' is a bimonthly magazine wholly owned by the Massachusetts Institute of Technology, and editorially independent of the university. It was founded in 1899 as ''The Technology Review'', and was re-launched without "The" in ...
to name it 'the machine that saved Moore's law'. The first prototype in 2006 produced one
wafer A wafer is a crisp, often sweet, very thin, flat, light and dry biscuit, often used to decorate ice cream, and also used as a garnish on some sweet dishes. Wafers can also be made into cookies with cream flavoring sandwiched between them. They ...
in 23 hours. As of 2022, a stepper produces 200 wafers per hour. The stepper uses
Zeiss Zeiss or Zeiß may refer to: People *Carl Zeiss (1816–1888), German optician and entrepreneur *Emil Zeiß (1833–1910), German Protestant minister and painter Companies *Carl Zeiss AG, German manufacturer of optics, industrial measurem ...
lenses, which that company calls "the most precise mirrors in the world" and are produced by locating imperfections and then knocking off individual molecules. This made the once small company ASML the world leader in the production of steppers and monopolist in this cutting edge technology and resulted in a record turnover of 18.6 billion € in 2021, dwarfing their competitors Canon and Nikon. Because it is such a key technology for development in many fields, the
United States The United States of America (U.S.A. or USA), commonly known as the United States (U.S. or US) or America, is a country Continental United States, primarily located in North America. It consists of 50 U.S. state, states, a Washington, D.C., ...
even pressured Dutch authorities to not sell these machines to
China China, officially the People's Republic of China (PRC), is a country in East Asia. It is the world's List of countries and dependencies by population, most populous country, with a Population of China, population exceeding 1.4 billion, slig ...
. ASML has followed the guidelines of Dutch export controls and until further notice, will have no authority to ship the machines to
China China, officially the People's Republic of China (PRC), is a country in East Asia. It is the world's List of countries and dependencies by population, most populous country, with a Population of China, population exceeding 1.4 billion, slig ...
.


Fab tool output

''Source
ASML to ship EUV tools
'


Masks

EUV
photomask A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography and the production of integrated circuits (ICs or "chips") in particular. Masks are used ...
s work by reflecting light, which is achieved by using multiple alternating layers of
molybdenum Molybdenum is a chemical element with the symbol Mo and atomic number 42 which is located in period 5 and group 6. The name is from Neo-Latin ''molybdaenum'', which is based on Ancient Greek ', meaning lead, since its ores were confused with lead ...
and
silicon Silicon is a chemical element with the symbol Si and atomic number 14. It is a hard, brittle crystalline solid with a blue-grey metallic luster, and is a tetravalent metalloid and semiconductor. It is a member of group 14 in the periodic ...
. This is in contrast to conventional photomasks which work by blocking light using a single chromium layer on a quartz substrate. An EUV mask consists of 40 alternating silicon and molybdenum layers; this multilayer acts to reflect the extreme ultraviolet light through
Bragg diffraction In physics and chemistry , Bragg's law, Georg Wulff, Wulff–Bragg's condition or Laue–Bragg interference, a special case of Laue diffraction, gives the angles for coherent scattering of waves from a crystal lattice. It encompasses the superposit ...
; the reflectance is a strong function of incident angle and wavelength, with longer wavelengths reflecting more near normal incidence and shorter wavelengths reflecting more away from normal incidence. The pattern is defined in a tantalum-based absorbing layer over the multilayer. The multilayer may be protected by a thin ruthenium layer. Blank photomasks are mainly made by two companies:
AGC Inc. , formerly Asahi Glass Co., Ltd.'(旭硝子株式会社), is a Japanese global glass manufacturing company, headquartered in Tokyo. It is the largest glass company in the world and one of the core Mitsubishi companies. The company is listed on ...
and
Hoya Corporation is a Japanese company manufacturing optical products such as photomasks, photomask blanks and hard disk drive platters, contact lenses and eyeglass lenses for the health-care market, medical photonics, lasers, photographic filters, medical flex ...
. A blank photomask is covered with
photoresist A photoresist (also known simply as a resist) is a light-sensitive material used in several processes, such as photolithography and photoengraving, to form a patterned coating on a surface. This process is crucial in the electronic industry. ...
, which is then baked (solidified) in an oven, and later exposed to
laser A laser is a device that emits light through a process of optical amplification based on the stimulated emission of electromagnetic radiation. The word "laser" is an acronym for "light amplification by stimulated emission of radiation". The ...
light, using maskless lithography. The exposed photoresist is developed (removed) and the unprotected areas are etched. The remaining photoresist is then removed. Masks are then inspected and later repaired using an
electron beam Cathode rays or electron beam (e-beam) are streams of electrons observed in discharge tubes. If an evacuated glass tube is equipped with two electrodes and a voltage is applied, glass behind the positive electrode is observed to glow, due to el ...
. Etching must be done to a very specific depth thus making etching difficult when compared with conventional photomask manufacturing.


Tool

The tool consists of a laser-driven tin (Sn) plasma light source, reflective optics comprising multilayer mirrors, contained within a hydrogen gas ambient. The hydrogen is used for keeping the EUV collector mirror in the source free of Sn deposition. EUVL is a significant departure from the deep ultraviolet lithography standard. All matter absorbs
EUV Extreme ultraviolet radiation (EUV or XUV) or high- energy ultraviolet radiation is electromagnetic radiation in the part of the electromagnetic spectrum spanning wavelengths from 124  nm down to 10 nm, and therefore (by the Planck ...
radiation. Hence, EUV lithography requires a vacuum. All optical elements, including the
photomask A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography and the production of integrated circuits (ICs or "chips") in particular. Masks are used ...
, must use defect-free molybdenum/silicon ( Mo/ Si) multilayers (consisting of 40 Mo/Si bilayers) that act to reflect light by means of interlayer interference; any one of these mirrors absorb around 30% of the incident light. Current EUVL systems contain at least two condenser multilayer mirrors, six projection multilayer mirrors and a multilayer object (mask). Since the mirrors absorb 96% of the EUV light, the ideal EUV source needs to be much brighter than its predecessors. EUV source development has focused on plasmas generated by laser or discharge pulses. The mirror responsible for collecting the light is directly exposed to the plasma and is vulnerable to damage from high-energy
ions An ion () is an atom or molecule with a net electrical charge. The charge of an electron is considered to be negative by convention and this charge is equal and opposite to the charge of a proton, which is considered to be positive by conven ...
and other debris such as tin droplets, which require the costly collector mirror to be replaced every year.


Resource requirements

The required utility resources are significantly larger for EUV compared to 193 nm immersion, even with two exposures using the latter. Hynix reported at the 2009 EUV Symposium that the wall plug efficiency was ~0.02% for EUV, i.e., to get 200-watts at intermediate focus for 100 wafers-per-hour, one would require 1-megawatt of input power, compared to 165-kilowatts for an ArF immersion scanner, and that even at the same throughput, the footprint of the EUV scanner was ~3x the footprint of an ArF immersion scanner, resulting in productivity loss. Additionally, to confine ion debris, a superconducting magnet may be required. A typical EUV tool weighs 180 tons. DUV vs. EUV Tool Energy Consumption (measured 2020): EUV tools consume at least 10x more energy than immersion tools.


Summary of key features

The following table summarizes key differences between EUV systems in development and ArF immersion systems which are widely used in production today: The different degrees of resolution among the 0.33 NA tools are due to the different illumination options. Despite the potential of the optics to reach sub-20 nm resolution, secondary electrons in resist practically limit the resolution to around 20 nm (more on this below).


Light source power, throughput, and uptime

Neutral atoms or condensed matter cannot emit EUV radiation.
Ionization Ionization, or Ionisation is the process by which an atom or a molecule acquires a negative or positive charge by gaining or losing electrons, often in conjunction with other chemical changes. The resulting electrically charged atom or molecul ...
must precede EUV emission in matter. The thermal production of multicharged positive ions is only possible in a hot dense plasma, which itself strongly absorbs EUV. As of 2016, the established EUV light source is a laser-pulsed tin plasma. The ions absorb the EUV light they emit, and are easily neutralized by electrons in the plasma to lower charge states which produce light mainly at other, unusable wavelengths, which results in a much reduced efficiency of light generation for lithography at higher plasma power density. The throughput is tied to the source power, divided by the dose. A higher dose requires a slower stage motion (lower throughput) if pulse power cannot be increased. EUV collector reflectivity degrades ~0.1-0.3% per billion 50 kHz pulses (~10% in ~2 weeks), leading to loss of uptime and throughput, while even for the first few billion pulses (within one day), there is still 20% (+/-10%) fluctuation. This could be due to the accumulating Sn residue mentioned above which is not completely cleaned off. On the other hand, conventional immersion lithography tools for double patterning provide consistent output for up to a year. Recently, the NXE:3400B illuminator features a smaller pupil fill ratio (PFR) down to 20% without transmission loss.M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017). PFR is maximized and greater than 0.2 around a metal pitch of 45 nm.Y. Chen et al., J.Vac. Sci. Tech. B35, 06G601 (2017). Due to the use of EUV mirrors which also absorb EUV light, only a small fraction of the source light is finally available at the wafer. There are 4 mirrors used for the illumination optics, and 6 mirrors for the projection optics. The EUV mask or reticle is itself an additional mirror. With 11 reflections, only ~ 2% of the EUV source light is available at the wafer.


Tool uptime

The EUV light source limits tool uptime besides throughput. In a two-week period, for example, over seven hours downtime may be scheduled, while total actual downtime including unscheduled issues could easily exceed a day. A dose error over 2% warrants tool downtime.


Comparison to other lithography light sources

While state-of-the-art 193 nm ArF
excimer laser An excimer laser, sometimes more correctly called an exciplex laser, is a form of ultraviolet laser which is commonly used in the production of microelectronic devices, semiconductor based integrated circuits or "chips", eye surgery, and microm ...
s offer intensities of 200 W/cm2, lasers for producing EUV-generating plasmas need to be much more intense, on the order of 1011 W/cm2. A state-of-the-art ArF immersion lithography 120 W light source requires no more than 40 kW while EUV sources are targeted to exceed 40 kW. The power target for EUV lithography is at least 250 W, while for other conventional lithography sources, it is much less. For example, immersion lithography light sources target 90 W, dry ArF sources 45 W, and KrF sources 40 W. High-NA EUV sources are expected to require at least 500 W.


EUV-specific optical issues


Reflective optics

A fundamental aspect of EUVL tools, resulting from the use of reflective optics, is the off-axis illumination (at an angle of 6 degrees, in different direction at different positions within the illumination slit) on a multilayer mask. This leads to shadowing effects resulting in asymmetry in the diffraction pattern that degrade pattern fidelity in various ways as described below. For example, one side (behind the shadow) would appear brighter than the other (within the shadow). The behavior of light rays within the plane of reflection (affecting horizontal lines) is different from the behavior of light rays out of the plane of reflection (affecting vertical lines). Most conspicuously, identically sized horizontal and vertical lines on the EUV mask are printed at different sizes on the wafer. The combination of the off-axis asymmetry and the mask shadowing effect leads to a fundamental inability of two identical features even in close proximity to be in focus simultaneously. One of EUVL's key issues is the asymmetry between the top and bottom line of a pair of horizontal lines (the so-called "two-bar"). Some ways to partly compensate are the use of assist features as well as asymmetric illumination.T. Last ''et al.'', Proc. SPIE vol. 10143, 1014311 (2017). An extension of the two-bar case to a grating consisting of many horizontal lines shows similar sensitivity to defocus. It is manifest in the critical dimension (CD) difference between the top and bottom edge lines of the set of 11 horizontal lines. Polarization by reflection also leads to partial polarization of EUV light, which favors imaging of lines perpendicular to the plane of the reflections.


Pattern shift from defocus (non-telecentricity)

The EUV mask absorber, due to partial transmission, generates a phase difference between the 0th and 1st diffraction orders of a line-space pattern, resulting in image shifts (at a given illumination angle) as well as changes in peak intensity (leading to linewidth changes) which are further enhanced due to defocus. Ultimately, this results in different positions of best focus for different pitches and different illumination angles. Generally, the image shift is balanced out due to illumination source points being paired (each on opposite sides of the optical axis). However, the separate images are superposed and the resulting image contrast is degraded when the individual source image shifts are large enough. The phase difference ultimately also determines the best focus position. The multilayer is also responsible for image shifting due to phase shifts from diffracted light within the multilayer itself. This is inevitable due to light passing twice through the mask pattern. The use of reflection causes wafer exposure position to be extremely sensitive to the reticle flatness and the reticle clamp. Reticle clamp cleanliness is therefore required to be maintained. Small (mrad-scale) deviations in mask flatness in the local slope, coupled with wafer defocus. More significantly, mask defocus has been found to result in large overlay errors. In particular, for a 10 nm node metal 1 layer (including 48 nm, 64 nm, 70 nm pitches, isolated, and power lines), the uncorrectable pattern placement error was 1 nm for 40 nm mask z-position shift.M. Sugawara ''et al.'', Proc. SPIE 9048, 90480V (2014). This is a global pattern shift of the layer with respect to previously defined layers. However, features at different locations will also shift differently due to different local deviations from mask flatness, e.g., from defects buried under the multilayer. It can be estimated that the contribution of mask non-flatness to overlay error is roughly 1/40 times the peak-to-valley thickness variation.X. Chen ''et al.'', Proc. SPIE 10143, 101431F (2017). With the blank peak-to-valley spec of 50 nm, ~1.25 nm image placement error is possible. Blank thickness variations up to 80 nm also contribute, which lead to up to 2 nm image shift. The off-axis illumination of the reticle is also the cause of non-telecentricity in wafer defocus, which consumes most of the 1.4 nm overlay budget of the NXE:3400 EUV scanner even for design rules as loose as 100 nm pitch.X. Liu ''et al.'', Proc. SPIE vol. 9048, 90480Q (2014). The worst uncorrectable pattern placement error for a 24 nm line was about 1.1 nm, relative to an adjacent 72 nm power line, per 80 nm wafer focus position shift at a single slit position; when across-slit performance is included, the worst error is over 1.5 nm in the wafer defocus window In 2017, an actinic microscope mimicking a 0.33 NA EUV lithography system with 0.2/0.9 quasar 45 illumination showed that an 80 nm pitch contact array shifted -0.6 to 1.0 nm while a 56 nm pitch contact array shifted -1.7 to 1.0 nm relative to a horizontal reference line, within a +/- 50 nm defocus window. Wafer defocus also leads to image placement errors due to deviations from local mask flatness. If the local slope is indicated by an angle α, the image is projected to be shifted in a 4x projection tool by 8 α x (DOF/2) = 4 α DOF, where DOF is the depth of focus. For a depth of focus of 100 nm, a small local deviation from flatness of 2.5 mrad (0.14°) can lead to a pattern shift of 1 nm.


Slit position dependence

The direction of illumination is also highly dependent on slit position, essentially rotated azimuthally.A. Garetto et al., J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).T-S. Eom et al., Proc. SPIE 8679, 86791J (2013). Nanya Technology and Synopsys found that horizontal vs. vertical bias changed across slit with dipole illumination.J. Fu et al., Proc. SPIE 11323, 113232H (2020). The rotating plane of incidence (azimuthal range within -25° to 25°) is confirmed in the SHARP actinic review microscope at CXRO which mimics the optics for EUV projection lithography systems. The reason for this is a mirror is used to transform straight rectangular fields into arc-shaped fields. In order to preserve a fixed plane of incidence, the reflection from the previous mirror would be from a different angle with the surface for a different slit position; this causes non-uniformity of reflectivity. To preserve uniformity, rotational symmetry with a rotating plane of incidence is used. More generally, so-called "ring-field" systems reduce aberrations by relying on the rotational symmetry of an arc-shaped field derived from an off-axis annulus. This is preferred, as reflective systems must use off-axis paths, which aggravate aberrations. Hence identical die patterns within different halves of the arc-shaped slit would require different OPC. This renders them uninspectable by die-to-die comparison, as they are no longer truly identical dies. For pitches requiring dipole, quadrupole, or hexapole illumination, the rotation also causes mismatch with the same pattern layout at a different slit position, i.e., edge vs. center. Even with annular or circular illumination, the rotational symmetry is destroyed by the angle-dependent multilayer reflectance described above. Although the azimuthal angle range is +/- ~20° (NXE3400M. Lim et al., Proc. SPIE 10583, 105830X (2018). field data indicate 18.2°) on 0.33 NA scanners, at 7 nm design rules (36-40 nm pitch), the tolerance for illumination can be +/-15°, or even less.E. van Setten et al., Proc. SPIE 9661, 96610G (2015). Annular illumination nonuniformity and asymmetry also significantly impact the imaging. The larger incident angle for pitch-dependent dipole illumination trend across slit does not affect horizontal line shadowing so much, but vertical line shadowing does increase going from center to edge.Horizontal, Vertical, and Slanted Line Shadowing Across Slit in EUV Lithography Systems
/ref> In addition, higher NA systems may offer limited relief from shadowing, as they target tighet pitches. The slit position dependence is particularly difficult for the tilted patterns encountered in DRAM. Besides the more complicated effects due to shadowing and pupil rotation, tilted edges are converted to stair shape, which may be distorted by OPC. In fact, the 32 nm pitch DRAM by EUV will lengthen up to at least 9F2 cell area, where F=active area half-pitch (traditionally, it had been 6F2). With a 2-D self-aligned double patterning active area cut, the cell area is still lower at 8.9F2. Aberrations, originating from deviations of optical surfaces from subatomic (<0.1 nm) specifications as well as thermal deformations and possibly including polarized reflectance effects, are also dependent on slit position, as will be further discussed below, with regard to source-mask optimization (SMO). The thermally induced aberrations are expected to exhibit differences among different positions across the slit, corresponding to different field positions, as each position encounters different parts of the deformed mirrors. Ironically, the use of substrate materials with high thermal and mechanical stability make it more difficult to compensate wavefront errors In combination with the range of wavelengths, the rotated plane of incidence aggravates the already severe stochastic impact on EUV imaging.


Wavelength bandwidth (

chromatic aberration In optics, chromatic aberration (CA), also called chromatic distortion and spherochromatism, is a failure of a lens to focus all colors to the same point. It is caused by dispersion: the refractive index of the lens elements varies with the w ...
)

Unlike DUV lithography sources, based on excimer lasers, EUV plasma sources produce light across a broad range of wavelengths. Though the EUV spectrum is not completely monochromatic, nor even as spectrally pure as DUV laser sources, the working wavelength has generally been taken to be 13.5 nm. In actuality, the reflected power is distributed mostly in the 13.3-13.7 nm range. The bandwidth of EUV light reflected by a multilayer mirror used for EUV lithography is over +/-2% (>270 pm); the phase changes due to wavelength changes at a given illumination angle may be calculated and compared to the aberration budget. Wavelength dependence of
reflectance The reflectance of the surface of a material is its effectiveness in reflecting radiant energy. It is the fraction of incident electromagnetic power that is reflected at the boundary. Reflectance is a component of the response of the electronic ...
also affects the apodization, or illumination distribution across the pupil (for different angles); different wavelengths effectively 'see' different illuminations as they are reflected differently by the multilayer of the mask.N. Davydova et al., Proc. SPIE 8166, 816624 (2011). This effective source illumination tilt can lead to large image shifts due to defocus. Conversely, the peak reflected wavelength varies across the pupil due to different incident angles. This is aggravated when the angles span a wide radius, e.g., annular illumination. The peak reflectance wavelength increases for smaller incident angles. Aperiodic multilayers have been proposed to reduce the sensitivity at the cost of lower reflectivity but are too sensitive to random fluctuations of layer thicknesses, such as from thickness control imprecision or interdiffusion. In particular, defocused dense lines at pitches up to twice the minimum resolvable pitch suffer wavelength-dependent edge shifts. A narrower bandwidth would increase sensitivity to mask absorber and buffer thickness on the 1 nm scale.


Flare

Flare is the presence of background light originating from scattering off of surface features which are not resolved by the light. In EUV systems, this light can be EUV or out-of-band (OoB) light that is also produced by the EUV source. The OoB light adds the complication of affecting the resist exposure in ways other than accounted for by the EUV exposure. OoB light exposure may be alleviated by a layer coated above the resist, as well as 'black border' features on the EUV mask. However, the layer coating inevitably absorbs EUV light, and the black border adds EUV mask processing cost.


Line tip effects

A key challenge for EUV is the counter-scaling behavior of the line tip-to-tip (T2T) distance as half-pitch (hp) is scaled down. This is in part due to lower image contrast for the binary masks used in EUV lithography, which is not encountered with the use of phase shift masks in immersion lithography. The rounding of the corners of the line end leads to line end shortening, and this is worse for binary masks. The use of phase-shift masks in EUV lithography has been studied but encounters difficulties from phase control in thin layers as well as the bandwidth of the EUV light itself. More conventionally,
optical proximity correction Optical proximity correction (OPC) is a photolithography enhancement technique commonly used to compensate for image errors due to diffraction or process effects. The need for OPC is seen mainly in the making of semiconductor devices and is due to ...
(OPC) is used to address the corner rounding and line-end shortening. In spite of this, it has been shown that the tip-to-tip resolution and the line tip printability are traded off against each other, being effectively CDs of opposite polarity. In unidirectional metal layers, tip-to-tip spacing is one of the more severe issues for single exposure patterning. For the 40 nm pitch vertical lines, an 18 nm nominal tip-to-tip drawn gap resulted in an actual tip-to-tip distance of 29 nm with OPC (optical proximity correction), while for 32 nm pitch horizontal lines, the tip-to-tip distance with a 14 nm nominal gap went to 31 nm with OPC.E. van Setten et al., Intl. Symp. on EUV Lithography, 2014. These actual tip-to-tip distances define a lower limit of the half-pitch of the metal running in the direction perpendicular to the tip. In this case, the lower limit is around 30 nm. With further optimization of the illumination (discussed in the section on source-mask optimization), the lower limit can be further reduced to around 25 nm. For larger pitches, where conventional illumination can be used, the line tip-to-tip distance is generally larger. For the 24 nm half-pitch lines, with a 20 nm nominally drawn gap, the distance was actually 45 nm, while for 32 nm half-pitch lines, the same nominal gap resulted in a tip-to-tip distance of 34 nm. With OPC, these become 39 nm and 28 nm for 24 nm half-pitch and 32 nm half-pitch, respectively.E. van Setten et al., Proc. SPIE 9231, 923108 (2014).


Enhancement opportunities for EUV patterning


Assist features

Assist features are often used to help balance asymmetry from non-telecentricity at different slit positions, due to different illumination angles, starting at the 7 nm node,I. Mochi ''et al.'', Proc. SPIE 9776, 97761S (2015). where the pitch is ~ 41 nm for a wavelength ~13.5 nm and NA=0.33, corresponding to k1 ~ 0.5. However, the asymmetry is reduced but not eliminated, since the assist features mainly enhance the highest spatial frequencies, whereas intermediate spatial frequencies, which also affect feature focus and position, are not much affected. The coupling between the primary image and the self images is too strong for the asymmetry to be eliminated by assist features; only asymmetric illumination can achieve this. Assist features may also get in the way of access to power/ground rails. Power rails are expected to be wider, which also limits the effectiveness of using assist features, by constraining the local pitch. Local pitches between 1x and 2x the minimum pitch forbid assist feature placement, as there is simply no room to preserve the local pitch symmetry. In fact, for the application to the two-bar asymmetry case, the optimum assist feature placement may be less than or exceed the two-bar pitch. Depending on the parameter to be optimized (process window area, depth of focus, exposure latitude), the optimum assist feature configuration can be very different, e.g., pitch between assist feature and bar being different from two-bar pitch, symmetric or asymmetric, etc.. At pitches smaller than 58 nm, there is a tradeoff between depth of focus enhancement and contrast loss by assist feature placement. Generally, there is still a focus-exposure tradeoff as the dose window is constrained by the need to have the assist features not print accidentally. An additional concern comes from shot noise; sub-resolution assist features (SRAFs) cause the required dose to be lower, so as not to print the assist features accidentally. This results in fewer photons defining smaller features (see discussion in section on shot noise). As SRAFs are smaller features than primary features and are not supposed to receive doses high enough to print, they are more susceptible to stochastic dose variations causing printing errors. This is particularly prohibitive for EUV, where even when the primary feature is printed at 80 mJ/cm2, the SRAF suffers from stochastic printing.


Source-mask optimization

Due to the effects of non-telecentricity, standard illumination pupil shapes, such as disc or annular, are not sufficient to be used for feature sizes of ~20 nm or below (10 nm node and beyond). Instead certain parts of the pupil (often over 50%) must be asymmetrically excluded. The parts to be excluded depend on the pattern. In particular, the densest allowed lines need to be aligned along one direction and prefer a dipole shape. For this situation, double exposure lithography would be required for 2D patterns, due to the presence of both X- and Y-oriented patterns, each requiring its own 1D pattern mask and dipole orientation. There may be 200–400 illuminating points, each contributing its weight of the dose to balance the overall image through focus. Thus the shot noise effect (to be discussed later) critically affects the image position through focus, in a large population of features. Double or multiple patterning would also be required if a pattern consists of sub-patterns which require significantly different optimized illuminations, due to different pitches, orientations, shapes, and sizes.


Impact of slit position and aberrations

Largely due to the slit shape, and the presence of residual aberrations, the effectiveness of SMO varies across slit position.W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017). At each slit position, there are different aberrations and different azimuthal angles of incidence leading to different shadowing. Consequently, there could be uncorrected variations across slit for aberration-sensitive features, which may not be obviously seen with regular line-space patterns. At each slit position, although optical proximity correction (OPC), including the assist features mentioned above, may also be applied to address the aberrations,Y-G Wang et al., Proc. SPIE 10143, 1014320 (2017). they also feedback into the illumination specification, since the benefits differ for different illumination conditions. This would necessitate the use of different source-mask combinations at each slit position, i.e., multiple mask exposures per layer. The above-mentioned chromatic aberrations, due to mask-induced apodization, also lead to inconsistent source-mask optimizations for different wavelengths.


Pitch-dependent focus windows

The best focus for a given feature size varies as a strong function of pitch, polarity, and orientation under a given illumination. At 36 nm pitch, horizontal and vertical darkfield features have more than 30 nm difference of focus. The 34 nm pitch and 48 nm pitch features have the largest difference of best focus regardless of feature type. In the 48-64 nm pitch range, the best focus position shifts roughly linearly as a function of pitch, by as much as 10-20 nm. For the 34-48 nm pitch range, the best focus position shifts roughly linearly in the opposite direction as a function of pitch. This can be correlated with the phase difference between the zero and first diffraction orders. Assist features, if they can fit within the pitch, were found not to reduce this tendency much, for a range of intermediate pitches, or even worsened it for the case of 18-27 nm and quasar illumination. 50 nm contact holes on 100 nm and 150 pitches had best focus positions separated by roughly 25 nm; smaller features are expected to be worse. Contact holes in the 48-100 nm pitch range showed a 37 nm best focus range. The best focus position vs. pitch is also dependent on resist. Critical layers often contain lines at one minimum pitch of one polarity, e.g., darkfield trenches, in one orientation, e.g., vertical, mixed with spaces of the other polarity of the other orientation. This often magnifies the best focus differences, and challenges the tip-to-tip and tip-to-line imaging.


Reduction of pupil fill

A consequence of SMO and shifting focus windows has been the reduction of pupil fill. In other words, the optimum illumination is necessarily an optimized overlap of the preferred illuminations for the various patterns that need to be considered. This leads to lower pupil fill providing better results.


Phase shift masks

A commonly touted advantage of EUV has been the relative ease of lithography, as indicated by the ratio of feature size to the wavelength multiplied by the numerical aperture, also known as the k1 ratio. An 18 nm metal linewidth has a k1 of 0.44 for 13.5 nm wavelength, 0.33 NA, for example. For the k1 approaching 0.5, some weak resolution enhancement including attenuated phase shift masks has been used as essential to production with the ArF laser wavelength (193 nm), whereas this resolution enhancement is not available for EUV.A. Erdmann et al., Proc. SPIE 10583, 1058312 (2018). In particular, 3D mask effects including scattering at the absorber edges distort the desired phase profile. Also, the phase profile is effectively derived from the plane wave spectrum reflected from the multilayer through the absorber rather than the incident plane wave. Without absorbers, near-field distortion also occurs at an etched multilayer sidewall due to the oblique incidence illumination; some light traverses only a limited number of bilayers near the sidewall. Additionally, the different polarizations (TE and TM) have different phase shifts.


EUV photoresist exposure: the role of electrons

EUV light generates photoelectrons upon absorption by matter. These photoelectrons in turn generate secondary electrons, which slow down before engaging in chemical reactions. At sufficient doses 40 eV electrons are known to penetrate 180 nm thick resist leading to development. At a dose of 160 μC/cm2, corresponding to 15 mJ/cm2 EUV dose assuming one electron/photon, 30 eV electrons removed 7 nm of PMMA resist after standard development. For a higher 30 eV dose of 380 μC/cm2, equivalent to 36 mJ/cm2 at one electron/photon, 10.4 nm of PMMA resist are removed. These indicate the distances the electrons can travel in resist, regardless of direction. In the most recent measurement of the significant impact of secondary electrons on resolution, it was found that 93 eV photoelectrons (from a gold underlayer) had a 1/e attenuation length of 28 nm in resist. The electron number attenuation was measured from the fraction of electrons captured in an electrical current from the resist. This indicates 37% of the released electrons still migrate beyond 28 nm from the exposure release point. The more highly absorbing resist removes more light in the top of the resist, leaving less for the bottom of the resist. The larger absorption leads to larger, more significant differences between the absorbed doses at the top and the bottom of the resist. In other words, the less absorbing the resist, the more vertically uniform the absorption. Conventionally, photoresists are made as transparent as possible to strive for this vertical uniformity, which enables straighter resist profiles. On the other hand, for EUV, this conflicts with the goal of increasing absorption for more sensitivity at current EUV power levels. Shot noise is another concern, to be explained further below.


Impact of photoelectron and secondary electron travel on resolution

A study by the College of Nanoscale Science and Engineering (CNSE) presented at the 2013 EUVL Workshop indicated that, as a measure of EUV photoelectron and secondary electron blur, 50–100 eV electrons easily penetrated beyond 15 nm of resist thickness (PMMA or commercial resist), indicating more than 30 nm range of resist affected centered on the EUV point of absorption, for doses exceeding 200–300 uC/cm2. This can be compared with the image contrast degradation reported for sub-40 nm pitches later in 2015. The process of electron penetration through a resist is essentially a stochastic process; there is a finite probability that resist exposure by released electrons can occur quite far from the point of photon absorption. Increasing the dose increases the number of far-reaching electrons, resulting in more extended resist loss. A leading EUV chemically amplified resist exposed to 80 eV electrons at a dose up to 80 uc/cm2 showed up to 7.5 nm resist thickness loss.Y. Kandel ''et al.'', Proc. SPIE 10143, 101430B (2017). For an open-source resist exposed near 200 uC/cm2 by 80 eV electrons, the resist thickness lost after post-exposure bake and development was around 13 nm, while doubling the dose resulted in increasing the loss to 15 nm. On the other hand, for doses >500 uC/cm2, the resist begins to thicken due to crosslinking. The degree of photoelectron emission from the layer underlying the EUV photoresist has been shown to affect the depth of focus. Unfortunately, hardmask layers tend to increase photoelectron emission, degrading the depth of focus. The generation of photoelectrons in the photoresist itself is a fundamental part of the image formation, and is marked by a random spread which increases with dose.The Electron Spread Function in EUV Lithography
/ref> The randomness of the number of secondary electrons is itself a source of stochastic behavior in EUV resist images.Adding Random Secondary Electron Generation to Photon Shot Noise: Compounding EUV Stochastic Edge Roughness
/ref> The scale length of electron blur itself has a distribution. The electron blur is also affected by total internal reflection from the top surface of the resist film.


Secondary electron blur vs. dose

Direct photocurrent measurements have been used to obtain secondary electron yields in response to EUV radiation. Simulations roughly calibrated to this yield show that the secondary electron blur increases with dose.P. De Schepper et al., Proc. SPIE 9425, 942507 (2015). This is associated with fewer trapping sites as dose is increased and the reactions depleting the trapping sites proceed. Low-energy electron-induced events also increase in number at a given distance from the photon absorption site, as dose is increased. This has been confirmed by resist thickness loss measurements as a function of low-energy electron dose. The dose-dependent spread of secondary electrons was also known before from electron beam lithography. The increased secondary electron blur with increased dose makes control of stochastic defects more difficult.


Charging and electron trapping

Due to the production of secondary electrons of various energies, the charge of the resist may locally fluctuate.A. Thete et al., Phys. Rev. Lett. 266803 (2017). An EUV exposure with less blur leads to more pronounced charge differences at the feature edge, which can lead to larger electric fields. Such large electric fields have been observed to lead to dielectric breakdown. The trapping of secondary electrons leads to a reduction of secondary electrons emitted from the film; however, the trap sites may themselves be depleted, resulting in effectively extended secondary electron blur for larger doses. Electron trapping is predicted to occur as part of polaronic behavior,Z. G. Song et al., J. Phys. D: Appl. Phys. 30, 1561 (1997). which confines the electron's final energy deposition to trap site locations. The polaron size can be quite large in resists, e.g., 46 nm in PMMA.


Contamination effects


Resist outgassing

Due to the high efficiency of absorption of EUV by photoresists, heating and outgassing become primary concerns. One well-known issue is contamination deposition on the resist from ambient or outgassed hydrocarbons, which results from EUV- or electron-driven reactions. Organic photoresists outgas hydrocarbons while metal oxide photoresists outgas water and oxygen and metal (in a hydrogen ambient); the last is uncleanable. The carbon contamination is known to affect multilayer reflectivity while the oxygen is particularly harmful for the ruthenium capping layers on the EUV multilayer optics.


Tin redeposition

Atomic
hydrogen Hydrogen is the chemical element with the symbol H and atomic number 1. Hydrogen is the lightest element. At standard conditions hydrogen is a gas of diatomic molecules having the formula . It is colorless, odorless, tasteless, non-to ...
in the tool chambers is used to clean tin and
carbon Carbon () is a chemical element with the symbol C and atomic number 6. It is nonmetallic and tetravalent—its atom making four electrons available to form covalent chemical bonds. It belongs to group 14 of the periodic table. Carbon ma ...
which deposit on the EUV optical surfaces. Atomic hydrogen is produced by EUV light directly photoionizing H2: hν + H2 → H+ + H + eT. Van de Ven et al., J. Appl. Phys. 123, 063301 (2018). Electrons generated in the above reaction may also dissociate H2 to form atomic hydrogen: e + H2 → H+ + H + 2e The reaction with tin in the light source or resist or on an optical surface to form volatile
SnH4 Stannane or tin hydride is an inorganic compound with the chemical formula . It is a colourless gas and the tin analogue of methane. Stannane can be prepared by the reaction of and . : Stannane decomposes slowly at room temperature to give ...
proceeds via the reaction: Sn (s) + 4H (g) → SnH4 (g). The SnH4 can reach the coatings of other EUV optical surfaces, where it redeposits Sn via the reaction: SnH4 → Sn (s) + 2H2 (g). Redeposition may also occur by other intermediate reactions. The redeposited Sn might be subsequently removed by atomic hydrogen exposure. However, overall, the tin cleaning efficiency is less than 0.01%, due to both redeposition and hydrogen desorption, leading to formation of hydrogen molecules at the expense of atomic hydrogen.


Hydrogen blistering

Hydrogen also reacts with metal-containing compounds to reduce them to metal, and diffuses through the silicon and molybdenum in the multilayer, eventually causing blistering.Hydrogen Blistering in EUV Multilayers
/ref> Capping layers that mitigate hydrogen-related damage often reduce reflectivity to well below 70%. Capping layers are known to be permeable to ambient gases including oxygen and hydrogen, as well as susceptible to the hydrogen-induced blistering defects.S-S. Kim et al., Proc. SPIE 10143, 1014306 (2017). Hydrogen may also react with the capping layer, resulting in its removal.


Resist erosion

Hydrogen also reacts with resists to etch or decompose them. Besides photoresist, hydrogen plasmas can also etch silicon, albeit very slowly.


Membrane

To help mitigate the above effects, the latest EUV tool introduced in 2017, the NXE:3400B, features a membrane that separates the wafer from the projection optics of the tool, protecting the latter from outgassing from the resist on the wafer. The membrane contains layers which absorb DUV and IR radiation, and transmits 85-90% of the incident EUV radiation. There is of course, accumulated contamination from wafer outgassing as well as particles in general (although the latter are out of focus, they may still obstruct light).


Mask defects

Reducing defects on extreme ultraviolet (EUV) masks is currently one of the most critical issues to be addressed for commercialization of EUV lithography. Defects can be buried underneath or within the multilayer stack or be on top of the multilayer stack. Mesas or protrusions form on the sputtering targets used for multilayer deposition, which may fall off as particles during the multilayer deposition. In fact, defects of atomic scale height (0.3–0.5 nm) with 100 nm FWHM can still be printable by exhibiting 10% CD impact. IBM and Toppan reported at Photomask Japan 2015 that smaller defects, e.g., 50 nm size, can have 10% CD impact even with 0.6 nm height, yet remain undetectable. Furthermore, the edge of a phase defect will further reduc
reflectivity
by more than 10% if its deviation from flatness exceeds 3 degrees, due to the deviation from the target angle of incidence of 84 degrees with respect to the surface. Even if the defect height is shallow, the edge still deforms the overlying multilayer, producing an extended region where the multilayer is sloped. The more abrupt the deformation, the narrower the defect edge extension, the greater the loss in reflectivity. EUV mask defect repair is also more complicated due to the across-slit illumination variation mentioned above. Due to the varying shadowing sensitivity across the slit, the repair deposition height must be controlled very carefully, being different at different positions across the EUV mask illumination slit.


Multilayer reflectivity random variations

GlobalFoundries and Lawrence Berkeley Labs carried out a Monte Carlo study to simulate the effects of intermixing between the molybdenum (Mo) and silicon (Si) layers in the multilayer that is used to reflect EUV light from the EUV mask.Y. Chen et al., Proc. SPIE 10143, 101431S (2017). The results indicated high sensitivity to the atomic-scale variations of layer thickness. Such variations could not be detected by wide-area reflectivity measurements, but would be significant on the scale of the critical dimension (CD). The local variation of reflectivity could be on the order of 10% for a few nm standard deviation.


Multilayer damage

Multiple EUV pulses at less than 10 mJ/cm2 could accumulate damage to a Ru-capped Mo/Si multilayer mirror optic element. The angle of incidence was 16° or 0.28 rads, which is within the range of angles for a 0.33 NA optical system.


Pellicles

Production EUV tools need a pellicle to protect the mask from contamination. Pellicles are normally expected to protect the mask from particles during transport, entry into or exit from the exposure chamber, as well as the exposure itself. Without pellicles, particle adders would reduce yield, which has not been an issue for conventional optical lithography with 193 nm light and pellicles. However, for EUV, the feasibility of pellicle use is severely challenged, due to the required thinness of the shielding films to prevent excessive EUV absorption. Particle contamination would be prohibitive if pellicles were not stable above 200 W, i.e., the targeted power for manufacturing. Heating of the EUV mask pellicle (film temperature up to 750 K for 80 W incident power) is a significant concern, due to the resulting deformation and transmission decrease. ASML developed a 70 nm thick polysilicon pellicle membrane, which allows EUV transmission of 82%; however, less than half of the membranes survived expected EUV power levels. SiNx pellicle membranes also failed at 82 W equivalent EUV source power levels. At target 250 W levels, the pellicle is expected to reach 686 degrees Celsius, well over the melting point of aluminum. Alternative materials need to allow sufficient transmission as well as maintain mechanical and thermal stability. However, graphite, graphene or other carbon nanomaterials (nanosheets, nanotubes) are damaged by EUV due to the release of electrons and also too easily etched in the hydrogen cleaning plasma expected to be deployed in EUV scanners. Hydrogen plasmas can also etch silicon as well. A coating helps improve hydrogen resistance, but this reduces transmission and/or emissivity, and may also affect mechanical stability (e.g., bulging). Wrinkles on pellicles can cause CD nonuniformity due to uneven absorption; this is worse for smaller wrinkles and more coherent illumination, i.e., lower pupil fill. In the absence of pellicles, EUV mask cleanliness would have to be checked before actual product wafers are exposed, using wafers specially prepared for defect inspection.H. J. Levinson and T. A. Brunner, Proc. SPIE 10809, 1080903 (2018). These wafers are inspected after printing for repeating defects indicating a dirty mask; if any are found, the mask must be cleaned and another set of inspection wafers are exposed, repeating the flow until the mask is clean. Any affected product wafers must be reworked. TSMC reported starting limited use of its own pellicle in 2019 and continuing to expand afterwards, and Samsung is planning pellicle introduction in 2022.


Hydrogen bulging defects

As discussed above, with regard to contamination removal, hydrogen used in recent EUV systems can penetrate into the EUV mask layers. Once trapped, bulge defects were produced. These are essentially the blister defects which arise after a sufficient number of EUV mask exposures in the hydrogen environment.


Throughput-scaling limits


EUV stochastic issues

EUV lithography is particularly sensitive to stochastic effects.P. De Bisschop, "Stochastic effects in EUV lithography: random, local CD variability, and printing failures", J. Micro/Nanolith. MEMS MOEMS 16(4), 041013 (2017). In a large population of features printed by EUV, although the overwhelming majority are resolved, some suffer complete failure to print, e.g. missing holes or bridging lines. A known significant contribution to this effect is the dose used to print.P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018). This is related to
shot noise Shot noise or Poisson noise is a type of noise which can be modeled by a Poisson process. In electronics shot noise originates from the discrete nature of electric charge. Shot noise also occurs in photon counting in optical devices, where sh ...
, to be discussed further below. Due to the stochastic variations in arriving photon numbers, some areas designated to print actually fail to reach the threshold to print, leaving unexposed defect regions. The lower part of the resist layer is more susceptible to underexposure, due to absorption in the upper part.EUV Resist Absorption Impact on Stochastic Defects
/ref> Some areas may be overexposed, leading to excessive resist loss or crosslinking. The probability of stochastic failure increases exponentially as feature size decreases, and for the same feature size, increasing distance between features also significantly increases the probability. Line cuts which are misshapen are a significant issue due to potential arcing and shorting. Yield requires detection of stochastic failures down to below 1e-12. The tendency to stochastic defects is worse when the image consists of photons from different patterns, such as from a large-area pattern or from defocus over a large pupil fill. Multiple failure modes may exist for the same population. For example, besides bridging of trenches, the lines separating the trenches may be broken. This can be attributed to stochastic resist loss, from secondary electrons.A. Narasimhan et al., Proc. SPIE 9422, 942208 (2015). The randomness of the number of secondary electrons is itself a source of stochastic behavior in EUV resist images. Some patterns are more vulnerable to stochastic defects, due to pinching between corners. The coexistence of stochastically underexposed and overexposed defect regions leads to a loss of dose window at a certain post-etch defect level between the low-dose and high-dose patterning cliffs. Hence, the resolution benefit from shorter wavelength is lost. The resist underlayer also plays an important role. This could be due to the secondary electrons generated by the underlayer.N. Felix et al., Proc. SPIE 9776, 97761O (2015). Secondary electrons may remove over 10 nm of resist from the exposed edge. The defect level is on the order of 1K/mm2. In 2020, Samsung reported that 5 nm layouts had risks for process defects and had started implementing automated check and fixing. Photon shot noise can be linked to stochastic defects through the presence of dose-dependent blur (modeled as Gaussian). Photon shot noise also leads to stochastic edge placement error, which can exceed 1 nm.Stochastic Effects from Photon Distribution Entropy in High-k1 EUV Lithography
/ref> The photon shot noise is removed to some degree by blurring factors such as secondary electrons or acids in chemically amplified resists, but when too significant the blur also reduces the image contrast at the edge. Since the blur is less than the feature size, the feature's total enclosed area can still have fluctuations. It is worse for EUV than DUV wavelengths due to lower photon density at commonly used doses, as well as smaller feature sizes being represented by smaller pixels. Even with blur taken into account, the final distribution of chemical species is still stochastic. Higher dose helps reduce the stochasticity but leads to larger blur, which can spread the extent of the stochastic fluctuations. Another approach to dealing with stochastic issues is to use directional etching to smooth sidewall edges. The resolution of EUV lithography for the future faces challenges in maintaining throughput, i.e., how many wafers are processed by an EUV tool per day. These challenges arise from smaller fields, additional mirrors, and shot noise. In order to maintain throughput, the power at intermediate focus (IF) must be continually increased. With the natural
Poisson distribution In probability theory and statistics, the Poisson distribution is a discrete probability distribution that expresses the probability of a given number of events occurring in a fixed interval of time or space if these events occur with a known co ...
due to the random arrival and absorption times of the photons,H-W Kim et al., Proc. SPIE 7636, 76360Q (2010). there is an expected natural dose (photon number) variation of at least several percent 3 sigma, making the exposure process susceptible to stochastic variations. The dose variation leads to a variation of the feature edge position, effectively becoming a blur component. Unlike the hard resolution limit imposed by diffraction, shot noise imposes a softer limit, with the main guideline being the ITRS line width roughness (LWR) spec of 8% (3s) of linewidth. Increasing the dose will reduce the shot noise,Z-Y. Pan et al., Proc. SPIE 6924, 69241K (2008). but this also requires higher source power. A 10 nm wide, 10 nm long assist feature region, at a target non-printing dose of 15 mJ/cm2, with 10% absorption, is defined by just over 100 photons, which leads to a 6s noise of 59%, corresponding to a stochastic dose range of 6 to 24 mJ/cm2, which could affect the printability. A 2017 study by Intel showed that for semi-isolated vias (whose Airy disk can be approximated by a Gaussian), the sensitivity of CD to dose was particularly strong, strong enough that a reduction of dose could nonlinearly lead to failure to print the via. The two issues of shot noise and EUV-released electrons point out two constraining factors: 1) keeping dose high enough to reduce shot noise to tolerable levels, but also 2) avoiding too high a dose due to the increased contribution of EUV-released photoelectrons and secondary electrons to the resist exposure process, increasing the edge blur and thereby limiting the resolution. Aside from the resolution impact, higher dose also increases outgassing and limits throughput, and crosslinking occurs at very high dose levels. For chemically amplified resists, higher dose exposure also increases line edge roughness due to acid generator decomposition. Even with higher absorption at the same dose, EUV has a larger shot noise concern than the ArF (193 nm) wavelength, mainly because it is applied to thinner resists. As can be seen above, at the target incident dose levels, significantly fewer EUV photons are absorbed in EUV resists compared to ArF photons in ArF resists. Despite greater transparency of the resist, the incident photon flux is about 14 times larger (193/13.5) for the same energy dose per unit area. Quantum yield makes the resist response comparable for chemically amplified resists for both ArF and EUV wavelengths, but ArF resists also allow larger blur, enabling further smoothing. The resist thickness is limited by transparency as well as resist collapse and resist strip considerations. Due to stochastic considerations, the IRDS 2022 Lithography roadmap now acknowledges increasing doses for smaller feature sizes.


Pupil Fill Ratio

For pitches less than half-wavelength divided by numerical aperture, dipole illumination is necessary. This illumination fills at most a leaf-shaped area at the edge of the pupil. However, due to 3D effects in the EUV mask, smaller pitches require even smaller portions of this leaf shape. Below 20% of the pupil, the throughput and dose stability begin to suffer.


Use with multiple patterning

EUV is anticipated to use double patterning at around 34 nm pitch with 0.33 NA. This resolution is equivalent to '1Y' for DRAM. In 2020, ASML reported that 5 nm M0 layer (30 nm minimum pitch) required double patterning. In H2 2018, TSMC confirmed that its 5 nm EUV scheme still used multi-patterning, also indicating that mask count did not decrease from its 7 nm node, which used extensive DUV multi-patterning, to its 5 nm node, which used extensive EUV. EDA vendors also indicated the continued use of multi-patterning flows. While Samsung introduced its own 7 nm process with EUV single patterning, it encountered severe photon shot noise causing excessive line roughness, which required higher dose, resulting in lower throughput. TSMC's 5 nm node uses even tighter design rules. Samsung indicated smaller dimensions would have more severe shot noise. In Intel's complementary lithography scheme at 20 nm half-pitch, EUV would be used only in a second line-cutting exposure after a first 193 nm line-printing exposure. Multiple exposures would also be expected where two or more patterns in the same layer, e.g., different pitches or widths, must use different optimized source pupil shapes. For example, when considering a staggered bar array of 64 nm vertical pitch, changing the horizontal pitch from 64 nm to 90 nm changes the optimized illumination significantly. Source-mask optimization that is based on line-space gratings and tip-to-tip gratings only does not entail improvements for all parts of a logic pattern, e.g., a dense trench with a gap on one side. In 2020, ASML reported that for the 3 nm node, center-to-center contact/via spacings of 40 nm or less would require double or triple patterning for some contact/via arrangements. For the 24-36 nm metal pitch, it was found that using EUV as a (second) cutting exposure had a significantly wider process window than as a complete single exposure for the metal layer. Multiple exposures of the same mask are also expected for defect management without pellicles, limiting productivity similarly to multiple patterning. Self-aligned litho-etch-litho-etch (SALELE) is a hybrid SADP/LELE technique whose implementation has started in 7 nm and continued use in 5 nm.SALELE double patterning for 7nm and 5nm nodes
/ref> Self-aligned litho-etch-litho-etch (SALELE) has become an accepted form of double patterning to be used with EUV, starting at the 5 nm node, where some layers are 28 nm pitch.


Single patterning extension: anamorphic high-NA

A return to extended generations of single patterning would be possible with higher numerical aperture (NA) tools. An NA of 0.45 could require retuning of a few percent. Increasing demagnification could avoid this retuning, but the reduced field size severely affects large patterns (one die per 26 mm × 33 mm field) such as the many-core multi-billion transistor 14 nm Xeon chips. by requiring field stitching of two mask exposures.Cautions in Using High-NA EUV
/ref> In 2015, ASML disclosed details of its anamorphic next-generation EUV scanner, with an NA of 0.55. The demagnification is increased from 4x to 8x only in one direction (in the plane of incidence).J. van Schoot ''et al.'', Proc. SPIE 9422, 94221F (2015). However, the 0.55 NA has a much smaller depth of focus than immersion lithography. Also, an anamorphic 0.52 NA tool has been found to exhibit too much CD and placement variability for 5 nm node single exposure and multi-patterning cutting. Depth of focusB. J. Lin, J. Microlith., Microfab., Microsyst. 1, 7-12 (2002). being reduced by increasing NA is also a concern, especially in comparison with multi-patterning exposures using 193 nm immersion lithography: High-NA EUV tools also suffer from obscuration, which can cause errors in the imaging of certain patterns. The first high-NA tools are expected at Intel by 2025 at earliest.


Beyond EUV wavelength

A much shorter wavelength (~6.7 nm) would be beyond EUV, and is often referred to as BEUV (beyond extreme ultraviolet). With current technology, BEUV wavelengths would have worse shot noise effects without ensuring sufficient dose.


References


Further reading

* *


Related links


EUV presents economic challenges

Industry mulls 6.7-nm wavelength EUV
{{DEFAULTSORT:Extreme Ultraviolet Lithography Lithography (microfabrication) Extreme ultraviolet