2016 UEFA European Under-19 Championship Qualification
   HOME

TheInfoList



OR:

The 2016 UEFA European Under-19 Championship qualification was a men's under-19
football Football is a family of team sports that involve, to varying degrees, kicking a ball to score a goal. Unqualified, the word ''football'' normally means the form of football that is the most popular where the word is used. Sports commonly c ...
competition organised by
UEFA Union of European Football Associations (UEFA ; french: Union des associations européennes de football; german: Union der europäischen Fußballverbände) is one of six continental bodies of governance in association football. It governs f ...
to determine the seven national teams joining the automatically qualified hosts
Germany Germany,, officially the Federal Republic of Germany, is a country in Central Europe. It is the second most populous country in Europe after Russia, and the most populous member state of the European Union. Germany is situated betwe ...
in the 2016 UEFA European Under-19 Championship final tournament. A total of 53 national teams entered this qualifying competition, which was played in two rounds between September 2015 and March 2016. Players born on or after 1 January 1997 were eligible to participate.


Format

The qualifying competition consisted of two rounds: *Qualifying round: Apart from
Spain , image_flag = Bandera de España.svg , image_coat = Escudo de España (mazonado).svg , national_motto = ''Plus ultra'' (Latin)(English: "Further Beyond") , national_anthem = (English: "Royal March") , i ...
, which received a bye to the elite round as the team with the highest seeding coefficient, the remaining 52 teams were drawn into 13 groups of four teams. Each group was played in single round-robin format at one of the teams selected as hosts after the draw. The 13 group winners, the 13 runners-up, and the third-placed team with the best record against the first- and second-placed teams in its group advanced to the elite round. *Elite round: The 28 teams were drawn into seven groups of four teams. Each group was played in single round-robin format at one of the teams selected as hosts after the draw. The seven group winners qualified for the final tournament.


Tiebreakers

The teams were ranked according to points (3 points for a win, 1 point for a draw, 0 points for a loss). If two or more teams were equal on points on completion of a mini-tournament, the following tie-breaking criteria were applied, in the order given, to determine the rankings: #Higher number of points obtained in the mini-tournament matches played among the teams in question; #Superior
goal difference Goal difference, goal differential or points difference is a form of tiebreaker used to rank sport teams which finish on equal points in a league competition. Either "goal difference" or "points difference" is used, depending on whether matches ar ...
resulting from the mini-tournament matches played among the teams in question; #Higher number of goals scored in the mini-tournament matches played among the teams in question; #If, after having applied criteria 1 to 3, teams still had an equal ranking, criteria 1 to 3 were reapplied exclusively to the mini-tournament matches between the teams in question to determine their final rankings. If this procedure did not lead to a decision, criteria 5 to 9 applied; #Superior goal difference in all mini-tournament matches; #Higher number of goals scored in all mini-tournament matches; #If only two teams had the same number of points, and they were tied according to criteria 1 to 6 after having met in the last round of the mini-tournament, their rankings were determined by a
penalty shoot-out The penalty shootout is a method of determining a winner in sports matches that would have otherwise been drawn or tied. The rules for penalty shootouts vary between sports and even different competitions; however, the usual form is similar to pe ...
(not used if more than two teams had the same number of points, or if their rankings were not relevant for qualification for the next stage). #Lower disciplinary points total based only on yellow and red cards received in the mini-tournament matches (red card = 3 points, yellow card = 1 point, expulsion for two yellow cards in one match = 3 points); #Drawing of lots. To determine the best third-placed team from the qualifying round, the results against the teams in fourth place were discarded. The following criteria were applied: #Higher number of points; #Superior goal difference; #Higher number of goals scored; #Lower disciplinary points total based only on yellow and red cards received (red card = 3 points, yellow card = 1 point, expulsion for two yellow cards in one match = 3 points); #Drawing of lots.


Qualifying round


Draw

The draw for the qualifying round was held on 3 December 2014, 09:50
CET CET or cet may refer to: Places * Cet, Albania * Cet, standard astronomical abbreviation for the constellation Cetus * Colchester Town railway station (National Rail code CET), in Colchester, England Arts, entertainment, and media * Comcast En ...
(
UTC+1 UTC+01:00 is an identifier for a time offset from UTC of +01:00. In ISO 8601, the associated time would be written as 2019-02-07T23:28:34+01:00. This time is used in: *Central European Time *West Africa Time *Western European Summer Time ** B ...
), at the UEFA headquarters in
Nyon Nyon (; outdated German language, German: or ; outdated Italian language, Italian: , ) is a Municipalities of Switzerland, municipality in Nyon District in the Cantons of Switzerland, canton of Vaud in Switzerland. It is located some 25 kilomet ...
,
Switzerland ). Swiss law does not designate a ''capital'' as such, but the federal parliament and government are installed in Bern, while other federal institutions, such as the federal courts, are in other cities (Bellinzona, Lausanne, Luzern, Neuchâtel ...
. The teams were seeded according to their coefficient ranking, calculated based on the following: * 2012 UEFA European Under-19 Championship final tournament and qualifying competition (
qualifying round Qualification is either the process of qualifying for an achievement, or a credential attesting to that achievement, and may refer to: * Professional qualification, attributes developed by obtaining academic degrees or through professional exper ...
and
elite round In political and sociological theory, the elite (french: élite, from la, eligere, to select or to sort out) are a small group of powerful people who hold a disproportionate amount of wealth, privilege, political power, or skill in a group. D ...
) * 2013 UEFA European Under-19 Championship final tournament and qualifying competition (
qualifying round Qualification is either the process of qualifying for an achievement, or a credential attesting to that achievement, and may refer to: * Professional qualification, attributes developed by obtaining academic degrees or through professional exper ...
and
elite round In political and sociological theory, the elite (french: élite, from la, eligere, to select or to sort out) are a small group of powerful people who hold a disproportionate amount of wealth, privilege, political power, or skill in a group. D ...
) *
2014 UEFA European Under-19 Championship The 2014 UEFA European Under-19 Championship was the 13th edition of the UEFA European Under-19 Championship since its reclassification from an under-18 event in 2002, and the 63rd since the tournament was created in 1948. Hungary was chosen to ho ...
final tournament and qualifying competition (
qualifying round Qualification is either the process of qualifying for an achievement, or a credential attesting to that achievement, and may refer to: * Professional qualification, attributes developed by obtaining academic degrees or through professional exper ...
and
elite round In political and sociological theory, the elite (french: élite, from la, eligere, to select or to sort out) are a small group of powerful people who hold a disproportionate amount of wealth, privilege, political power, or skill in a group. D ...
) Each group contained two teams from Pot A and two teams from Pot B. For political reasons, Armenia and Azerbaijan (due to the disputed status of
Nagorno-Karabakh Nagorno-Karabakh ( ) is a landlocked country, landlocked region in the Transcaucasia, South Caucasus, within the mountainous range of Karabakh, lying between Lower Karabakh and Syunik Province, Syunik, and covering the southeastern range o ...
), as well as Russia and Ukraine (due to the Russian military intervention in Ukraine), could not be drawn in the same group. ;Notes *
Germany Germany,, officially the Federal Republic of Germany, is a country in Central Europe. It is the second most populous country in Europe after Russia, and the most populous member state of the European Union. Germany is situated betwe ...
(Coeff: 8.833) qualified automatically for the final tournament as hosts.


Groups

Times up to 24 October 2015 were
CEST CEST or cest may refer to: * Central European Summer Time (UTC+2), daylight saving time observed in the central European time zone * Cognitive-Experiential Self-Theory * Chemical Exchange Saturation Transfer, a subset of Magnetization transfer in ...
(
UTC+2 UTC+02:00 is an identifier for a time offset from UTC of +02:00. In ISO 8601, the associated time would be written as 2020-11-08T23:41:45+02:00. This time is used in: As standard time (year-round) ''Principal cities: Cairo, Pretoria, Cape ...
), thereafter times were
CET CET or cet may refer to: Places * Cet, Albania * Cet, standard astronomical abbreviation for the constellation Cetus * Colchester Town railway station (National Rail code CET), in Colchester, England Arts, entertainment, and media * Comcast En ...
(
UTC+1 UTC+01:00 is an identifier for a time offset from UTC of +01:00. In ISO 8601, the associated time would be written as 2019-02-07T23:28:34+01:00. This time is used in: *Central European Time *West Africa Time *Western European Summer Time ** B ...
).


Group 1

---- ----


Group 2

---- ----


Group 3

---- ----


Group 4

---- ----


Group 5

---- ----


Group 6

---- ----


Group 7

---- ----


Group 8

''The match was completed with a 2–1 scoreline before a 3–0 default victory was awarded to Austria due to Albania fielding an ineligible player.'' ---- ''The match was completed with a 0–1 scoreline before a 0–3 default victory was awarded to Georgia due to Albania fielding an ineligible player.'' ----


Group 9

''Matches on the first matchday, originally to be played on 11 November (Norway v Northern Ireland at 12:00 and Russia v Slovakia at 15:00), were postponed to 12 November due to heavy rain in
Sochi Sochi ( rus, Со́чи, p=ˈsotɕɪ, a=Ru-Сочи.ogg) is the largest resort city in Russia. The city is situated on the Sochi River, along the Black Sea in Southern Russia, with a population of 466,078 residents, up to 600,000 residents in ...
. Matches on the second matchday were also pushed back from 13 November to 14 November as a result.'' ---- ----


Group 10

---- ----


Group 11

---- ----


Group 12

---- ----


Group 13

---- ----


Ranking of third-placed teams

To determine the best third-placed team from the qualifying round advancing to the elite round, only the results of the third-placed teams against the first and second-placed teams in their group were taken into account.


Elite round


Draw

The draw for the elite round was held on 3 December 2015, 11:00
CET CET or cet may refer to: Places * Cet, Albania * Cet, standard astronomical abbreviation for the constellation Cetus * Colchester Town railway station (National Rail code CET), in Colchester, England Arts, entertainment, and media * Comcast En ...
(
UTC+1 UTC+01:00 is an identifier for a time offset from UTC of +01:00. In ISO 8601, the associated time would be written as 2019-02-07T23:28:34+01:00. This time is used in: *Central European Time *West Africa Time *Western European Summer Time ** B ...
), at the UEFA headquarters in
Nyon Nyon (; outdated German language, German: or ; outdated Italian language, Italian: , ) is a Municipalities of Switzerland, municipality in Nyon District in the Cantons of Switzerland, canton of Vaud in Switzerland. It is located some 25 kilomet ...
, Switzerland. The teams were seeded according to their results in the qualifying round.
Spain , image_flag = Bandera de España.svg , image_coat = Escudo de España (mazonado).svg , national_motto = ''Plus ultra'' (Latin)(English: "Further Beyond") , national_anthem = (English: "Royal March") , i ...
, which received a bye to the elite round, were automatically seeded into Pot A. Each group contained one team from Pot A, one team from Pot B, one team from Pot C, and one team from Pot D. Teams from the same qualifying round group could not be drawn in the same group. For political reasons, Russia and Ukraine (due to the Russian military intervention in Ukraine) could not be drawn in the same group.


Groups

Times up to 26 March 2016 were
CET CET or cet may refer to: Places * Cet, Albania * Cet, standard astronomical abbreviation for the constellation Cetus * Colchester Town railway station (National Rail code CET), in Colchester, England Arts, entertainment, and media * Comcast En ...
(
UTC+1 UTC+01:00 is an identifier for a time offset from UTC of +01:00. In ISO 8601, the associated time would be written as 2019-02-07T23:28:34+01:00. This time is used in: *Central European Time *West Africa Time *Western European Summer Time ** B ...
), thereafter times were
CEST CEST or cest may refer to: * Central European Summer Time (UTC+2), daylight saving time observed in the central European time zone * Cognitive-Experiential Self-Theory * Chemical Exchange Saturation Transfer, a subset of Magnetization transfer in ...
(
UTC+2 UTC+02:00 is an identifier for a time offset from UTC of +02:00. In ISO 8601, the associated time would be written as 2020-11-08T23:41:45+02:00. This time is used in: As standard time (year-round) ''Principal cities: Cairo, Pretoria, Cape ...
).


Group 1

---- ----


Group 2

---- ----


Group 3

---- ----


Group 4

---- ----


Group 5

---- ----


Group 6

---- ----


Group 7

---- ----


Qualified teams

The following eight teams qualified for the final tournament: :
1 Bold indicates champion for that year. ''Italic'' indicates host for that year.


Top goalscorers

The following players scored four goals or more in the qualifying competition: ;6 goals *
Ivan Šaponjić Ivan Šaponjić (Serbian Cyrillic: Иван Шапоњић; born 2 August 1997) is a Serbian professional footballer who plays as a striker for Slovan Bratislava in the Niké Liga. At international level, Šaponjić won the gold medal for Se ...
;5 goals *
Nany Dimata Landry Nany Dimata (born 1 September 1997) is a professional footballer who plays as a forward for Turkish club Samsunspor. Born in the DR Congo, he is a youth international for Belgium. Club career Dimata played with Standard Liège as a juni ...
*
Jean-Kévin Augustin Jean-Kévin Augustin (born 16 June 1997) is a French professional footballer who plays as a striker for Swiss Super League club Basel. An academy graduate of French club Paris Saint-Germain, Augustin made his senior debut in 2015 and made 31 ...
*
Anas Mahamid Anas Mahamid ( ar, أنس محاميد; born 26 April 1998) is an Israeli footballer who plays as a centre-forward. Club career Youth career Born in 1998, Mahamid played football in several youth team including Maccabi Umm al-Fahm, Maccabi Barka ...
* Karol Świderski *
Luka Jović Luka Jović ( sr-Cyrl, Лука Јовић; born 23 December 1997) is a Serbian professional footballer who plays as a striker for club Fiorentina and the Serbia national team. Early life Jović was born in Loznica, FR Yugoslavia. He was rais ...
;4 goals * Dominik Prokop *
Aleksandar Georgiev Aleksandar Georgiev ( bg, Александър Георгиев; born 10 October 1997) is a Bulgarian Association football, footballer who plays as a Midfielder#Winger, winger for FC Krumovgrad, Krumovgrad. Career Early career Georgiev was a yo ...
* Ondřej Mihálik * Mikkel Duelund * Kristian Veber *
Luca Vido Luca Vido (born 3 February 1997) is an Italian professional footballer who plays as a forward for club Reggiana. Club career Vido made his professional debut in the Serie B for Cittadella on 4 February 2017 in a game against Pro Vercelli. ...
* Edvin Muratovic *
Sam Lammers Sam Lammers (born 30 April 1997) is a Dutch professional footballer who plays as a striker for club Sampdoria, on loan from Atalanta. Club career Before Lammers signed for PSV in 2010, he played for Willem II and VOAB, a local football clu ...
*
Aurélio Buta Aurélio Gabriel Ulineia Buta (born 10 February 1997) is a Portuguese professional footballer who plays as a right-back for Bundesliga club Eintracht Frankfurt. Club career On 6 August 2016, Buta made his professional debut with Benfica B in a ...


References


External links

* {{DEFAULTSORT:Uefa European Under-19 Championship Qualification 2016
Qualification Qualification is either the process of qualifying for an achievement, or a credential attesting to that achievement, and may refer to: * Professional qualification, attributes developed by obtaining academic degrees or through professional exper ...
2016 File:2016 Events Collage.png, From top left, clockwise: Bombed-out buildings in Ankara following the 2016 Turkish coup d'état attempt; the impeachment trial of Brazilian President Dilma Rousseff; Damaged houses during the 2016 Nagorno-Karabakh ...